• 我的订阅
  • 头条热搜
3nm的芯片战争,才刚刚开始
...的 190 亿,这是全世界第一款采用台积电 3nm 工艺的手机芯片,也是 3nm 工艺第一次出现普通人就可以买到的大众消费品上。要知道,随着芯片尺寸越来越逼近物理极限,每一代工艺节点升级需要投入也在加速增加,仅台积电一家...……更多
骁龙8 Gen5处理器不用抽奖了,只因三星3nm良品率过低!
这几年的手机市场竞争确实非常的激烈,但是对芯片厂商来说,如今的发展压力也是可以用夸张来形容了。尤其是联发科与高通骁龙之间的竞争,更是让各大手机厂商的选择变得很纠结,不知道该支持哪款。但是对消费者来说...……更多
消息称三星 Exynos 2400 将采用FOWLP封装工艺
...,并已经开始向客户交付产品,而首款采用该封装工艺的芯片,会是明年搭载在GalaxyS24/S24+手机中的Exynos2400芯片。IT之家今年4月就曾报道,三星为了追赶高通骁龙,计划为Exynos2400处理器采用FOWLP技术。FOWLP技术被认为是提高半导...……更多
抢光刻机、截客户,三大芯片巨头缠斗2nm丨知料
作者丨邱晓芬编辑丨苏建勋近期,行业内关于2nm以下芯片制程的进展频频。12月14日,台积电在一次会议上首次提到,其1.4nm制程已经开始研究,并且计划在 2027-2028年器件量产。与此同时,台积电2nm制程的进展也近期也颇快。此...……更多
英特尔独中200亿美元“大礼包” 台积电和三星仍看菜下碟?
...接近200亿美元的“大礼包”也让英特尔得到了迄今为止《芯片法案》出台之后最大的一笔补贴。英特尔正计划未来5年在亚利桑那州、俄亥俄州、新墨西哥州和俄勒冈州投资1000亿美元,以扩大先进芯片制造能力,并在2030年重回先...……更多
中国大陆将拿下全球28%晶圆代工市场!但先进工艺只占1%
...立了本土的先进制程晶圆代工厂Rapidus,计划2027年量产2nm芯片。预计到2027年,日本的先进制程产能占比将提升至3%。此消彼长之下,预计2027年,中国台湾的先进制程产能份额将降低至65%;韩国的先进制程产能份额将小幅下滑至19%...……更多
高通2nmap订单年底前完成,配套的 HBM 内存
据ETNews报道,高通已委托三星电子开发2nm原型芯片。如果三星制造的原型芯片达到该公司要求的性能和良率目标,可能会达成最终订单。原型开发是了解半导体性能和良率的过程。在半导体行业,它通常被称为“多项目晶圆”...……更多
三星和英特尔在2nm工艺上激烈竞争!追赶台积电
【CNMO新闻】来自韩国、中国台湾和美国的领先芯片制造商,在先进的2nm半导体工艺领域的竞争预计将在明年加剧。根据最新的行业报道,全球晶圆代工龙头企业中国台湾台积电(行业第一)、韩国三星电子(第二)和重新进入...……更多
AMD下一代CPU/GPU将引入三星4nm工艺
...依赖台积电(TSMC)和GlobalFoundries(格罗方德)为其制造芯片。不过很早之前就有报道称,AMD或许会与三星建立新的合作关系,计划采用三星的4LPP工艺,制造Chromebook使用的APU。近日有网友透露,AMD将采用三星4nm工艺制造客户端CPU...……更多
三星正在开发智能传感器,旨在实现芯片制造全程无人化
...于实时监控和分析生产过程。三星的目标是到2030年实现芯片工厂的完全自动化,无需人工操作。为了实现这一目标,三星需要开发能够管理大量数据并自动优化设备性能的系统。智能传感系统是这个计划的重要组成部分,它将...……更多
三星计划2025年量产2nm制程:比肩台积电,希望抢得更多芯片订单
...,基本上就是台积电独领天下了,基本上所有的先进制程芯片产能都让台积电进行代工,特别是3nm制程工艺基本被台积电包圆,而台积电的营收也是节节攀升。不过对于先进工艺的追求不单单是台积电在研究,包括三星、英特尔...……更多
三星芯片制造滑铁卢,台积电将独家代工高通骁龙8 Gen4
...星来说无疑是一个打击,因为高通是全球最大的移动设备芯片供应商之一,而三星一直试图在半导体市场上与台积电竞争。据了解,三星的3纳米GAA制程技术是其最新的芯片制造技术,该技术使用更宽的环绕栅极架构,可以更好...……更多
三星电子试生产第二代3nm级工艺sf3
...高至60%以上。消息人士称,三星正在测试SF3节点上制造的芯片的性能和可靠性;首个采用三星SF3工艺的芯片预计将会是专为可穿戴设备设计的应用处理器,计划用于今年晚些时候发布的GalaxyWatch7等产品。同时,Chosun预计该公司还...……更多
三星正在讨论为高通和lsi部门生产原型产品
...有可能正在评估2纳米SF2GAAFET工艺,以用于遥远的骁龙8Gen5芯片组,而三星LSI则可能正在开发2纳米\"Exynos2600\"系统芯片设计。此前有消息称,三星正在开发配备10核CPU集群的Exynos2500,该芯片组将直接接替Exynos2400,但不太可能使用2n...……更多
三星电子在背面供电网络测试中取得了令人满意的结果
三星电子在背面供电网络(BSPDN)芯片测试中取得了令人满意的结果,这使得其有望提前引入未来制程节点。传统的芯片制造采用自下而上的方式,先制造晶体管再建立用于互连和供电的线路层。然而,随着工艺的进步,这种供...……更多
韩国经济日报:三星电子或缩减晶圆代工投资
1月15日消息,芯片业前景黯淡,全球科技行业扩张的脚步正在逐渐放缓。韩国经济日报表示,存储芯片制造商三星电子可能缩减晶圆代工投资以应对行业低迷。据介绍,尽管三星维持中长期的扩大投资立场不变,但将灵活调整...……更多
三星已向新川公司订购16台2.5d封装粘合设备
...▲图源:三星他指出,这很可能是为了给Nvidia下一代的AI芯片提供HBM3内存和2.5D封装服务。三星的HBM3、中介层和2.5D封装技术最有可能用于NvidiaGB100。不过,GPU本身而言,Nvidia并未使用三星代工,而是选择了其主要合作伙伴台积电...……更多
印钞机停不下来:去年台积电晶圆代工均价狂飙22%,3nm代工费超14万
对于半导体设计公司来说,如何将设计的芯片顺利生产出来,就需要晶圆代工厂商来帮忙,而对于亟需先进制程的公司来说,包括台积电、三星甚至英特尔已经是首选,并且伴随着4nm、3nm等制程工艺的普及,晶圆代工厂商的生...……更多
OpenAI加速造芯:奥特曼赴韩与三星SK谈合作,此前已会见英特尔、台积电|最前线
作者 | 王怡宁编辑 | 邓咏仪ChatGPT诞生以来,芯片战争就是这场全球浪潮的另外一面——对于算力消耗巨大的大模型而言,芯片供给可以说是其成败关键。但到了2024年,全球芯片供给的紧张情况并没有缓解,反而更紧张了。首当...……更多
英特尔推广intel18a工艺节点
...消息,根据韩媒TheElec报道,英特尔已针对韩国无晶圆厂芯片公司,大幅增加了营销活动,以推广自家的Intel18A工艺节点。消息称英特尔首席执行官帕特・基辛格于去年会见了多位韩国公司的高级管理人员,并向他们介绍了英特尔...……更多
芯片战场丨英特尔2023年营收542亿美元:PC业务复苏 数据中心下滑
...争将会加剧。从产品层面看,英特尔去年在陆续出服务器芯片新品,不仅有传统的至强系列,还有专门针对生成式AI的Gaudi芯片系列。根据英特尔披露的数据,第四代Xeon(至强)处理器自2023年1月推出以来,出货量超过250万台,...……更多
rebellions计划明年开始量产5nm芯片atom
...Rebellions上周和SEMIFIVE签署协议,计划明年开始量产5nm的AI芯片ATOM。SEMIFIVE是三星电子旗下设计解决方案合作伙伴(DSP)之一,本次合作中,负责使用5nm的极紫外(EUV)工艺,量产这颗数据中心专用的AI芯片。IT之家今年2月报道,Re...……更多
AMD下一代低端产品或引入三星代工 用于低端APU/GPU
AMD自从出售了晶圆厂以后,其芯片生产大多由台积电(TSMC)和GlobalFoundries(格罗方德)为其进行代工。不过由于台积电产能不足以及目前格罗方德在高端制程上的缺失,目前AMD也是急需扩展其代工解决方案,而目前市场上除了...……更多
SpaceX火箭创重复使用新纪录;三星推迟得州晶圆厂量产时间
...三星在晶圆代工市场的一次战略调整,也是其在应对全球芯片短缺的一次挑战和机遇。三星的推迟也反映了芯片制造的复杂性和困难性,以及全球芯片供应链的脆弱性和不确定性。NO.5 消息称台积电明年3nm芯片设计定案数量激增...……更多
英特尔18A工艺客户敲定:开发Arm架构64核心处理器
...官宣将会基于英特尔新一代制程工艺来研发和制造相关的芯片。世界著名的IP设计企业智原宣布,未来将会和ARM以及英特尔合作,共同打造拥有64核心的ARM架构SoC,搭载的就是英特尔18A制程工艺,主要是为数据中心、边缘基础架...……更多
台积电推2nm,芯片制程极限升级,2nm或不再是我们理解的2nm了
文/王新喜在我们还在为7纳米工艺发愁的时候,台积电等芯片巨头已经在推进2nm。台积电、三星、intel都将在2025年竞技2nm。根据集邦咨询报道,台积电正在积极推进 2nm 工艺节点,首部机台计划 2024 年 4 月进厂。而台积电和三星...……更多
骁龙8 Gen5处理器:有望混用工艺,只因三星迈步2nm!
...使是中端机也不担心有问题。另一方面,手机厂商如今对芯片的功耗控制也是严格进行把控,并且在散热方面和游戏性能增强方面发力。这也意味着无论是中端手机处理器还是高端旗舰处理器,都能够满足用户三到五年的使用需...……更多
重回第一!华为吃饱,高通联发科跌倒,手机芯片要变天了
作者 | 云鹏编辑 | 心缘一方面,三方手机芯片巨头们有苦难言:高通被曝裁员、订单大幅削减,联发科也将明年的晶圆投片量大砍。郭明錤认为华为麒麟的回归可能会让高通2024年SoC出货量锐减6000万颗,约占其全年出货量五分之...……更多
继台积电后,三星电子也推迟美国工厂量产计划至2025年
...厂计划投产时间推迟到2025年。三星电子在得克萨斯州的芯片新工厂也推迟了大规模生产计划至2025年。·这两家芯片制造商在美国运营的工厂出现任何延误,都将让美国总统拜登提高美国本土芯片生产的宏伟计划遭遇挫折。继台...……更多
三星计划增加人工智能半导体、汽车等领域的客户
...设备制造商、以及其他客户都有联系三星寻求他们设计的芯片,其中包括了正在开发的4nm人工智能加速器、排名第一的电动车企业5nm芯片,因为三星的晶圆代工和存储器部门可以将想象变为现实,而且有客户所需要的东西。目前...……更多
更多关于科技的资讯:
oppo负责人分享findx8全新ai影像功能
尽管是国庆假期,OPPO、vivo两家的高管也未停止对新品的预热。如上图所见,OPPOFind系列负责人周意保在微博分享了FindX8系列拥有全新AI影像功能
2024-10-05 20:02:00
oppofindx8pro真机曝光
步入10月,各家新旗舰也都蓄势待发,目前OPPO、vivo两家预热最多,不过像OPPO这边之前仅公布了FindX8标准版
2024-10-05 20:02:00
一文看懂20块和200块的内衣到底有什么区别!有一种千万别买
内衣对女性在塑造形体、满足生理需求方面起着重要的作用,随着生活水平的提高,各种文胸产品层出不穷,价格从几十元、几百元到上千元不等
2024-10-05 20:07:00
IGN法国近日对《寂静岭2:重制版》给出了满分评价,盛赞其成功地将经典之作现代化。评测者表示,该游戏不仅保留了原作的压抑心理情绪
2024-10-05 20:10:00
ChatGPT 能够帮助他找到所需的解决方案
10月4日消息,Valve工程师FletcherDunn昨日在社交媒体上分享了他使用ChatGPT改进《Deadlock》匹配算法的经历
2024-10-05 20:37:00
爱奇艺会员暂停后播放全屏广告?客服回应
10月5日消息,今日一条“爱奇艺会员暂停后播放全屏广告”的话题冲上了微博热搜榜,最高位置达到11位。据@共富财经报道,近日有网友发视频称
2024-10-05 20:46:00
哪吒汽车答网友问:车机网络如何重置?
10月5日消息,哪吒汽车今日发布答网友问(第十六期),就哪吒L车型的用车相关问题进行解答。哪吒汽车官方称,车机网络在通信基站后台定义为物联网卡
2024-10-05 20:47:00
德国最大交通协会调查:中国品牌汽车性价比高
10月5日消息,据央视新闻报道,德国最大的交通协会全德汽车俱乐部当地时间4日发布的最新民意调查结果显示,中国品牌汽车的性价比对德国消费者来说非常具有吸引力
2024-10-05 20:49:00
微软推出visualstudiocode1.94版本更新
10月5日消息,科技媒体NeoWin昨日(10月4日)发布博文,报道称微软最新推出了VisualStudioCode1
2024-10-05 21:03:00
微软计划优化onedrive的搜索功能
10月5日消息,科技媒体NeoWin昨日(10月4日)发布博文,报道称微软公司计划优化OneDrive的搜索功能,帮助用户更快速
2024-10-05 21:04:00
arcade版《nba2k25》游戏上架苹果appstore
10月5日消息,Arcade版《NBA2K25》游戏昨日(10月4日)正式上架苹果AppStore,适用于iPhone
2024-10-05 21:06:00
专家解读为何哀牢山是禁区:环境极为复杂、存在大地磁场强度异常现象
快科技10月5日消息,据媒体报道,近日,博主“山取画材”独自进山视频在网络走红,也让哀牢山再次走进公众视野。哀牢山位于云南省
2024-10-05 21:07:00
世界海拔最高风电站“亮相” 大国工程“硬核”实力彰显中国力量
在世界屋脊的青藏高原,一项新的工程奇迹正在缓缓揭开序幕。全球在建最高海拔的风电项——大唐八宿风电机组已经完成了全部吊装工作
2024-10-05 21:07:00
《暗黑破坏神4:憎恨之躯》DLC资料片10月9日上线
10月5日消息,暴雪旗下的《暗黑破坏神4:憎恨之躯》游戏媒体评分于昨日(10月4日)解禁,该DLC资料片将于2024年10月9日上线
2024-10-05 21:09:00
chrome浏览器新增jump-startomnibox
10月5日消息,消息源@Leopeva64于10月3日在X平台发布推文,曝料称谷歌正酝酿安卓版Chrome浏览器更新,从而让其在低端设备上运行得更快
2024-10-05 21:10:00