• 我的订阅
  • 头条热搜
...过半导体制程与工艺、先进封装等技术共同延续单位面积晶体管倍增的增长曲线。首个EUV节点Intel 4将于2023年下半年按计划推出,比Intel 7每瓦性能将提高约20%;Intel 3预计将于2023年下半年投产,比Intel 4每瓦性能将提高约18%;Intel ...……更多
英特尔重塑代工业务:按期推进4年5个节点计划、公布Intel 14A路线图、2030要成第二大代工厂
... PowerVia 背面供电技术的芯片,通过优化供电提高性能和晶体管密度。同时 18A 也是英特尔首个采用 RibbonFET 全周栅极(GAA)晶体管的节点,在缩小面积的情况下,提供更高的晶体管密度和更快的晶体管开关速度。英特尔 18A 现已...……更多
英特尔在IEDM大会上展示新工艺,背面供电与堆叠晶体管纷纷亮相
...。英特尔正为其未来芯片寻找新的开发路线,包括3D堆叠晶体管以实现更高密度、扩展背面供电以及使用氮化稼来实现更高的传输功率等。总部位于圣克拉拉的芯片巨头将在本周于旧金山召开的第69届IEEE国际电子器件年会(IEDM)...……更多
2nm大战 全面打响
...星表示,与 FinFET 相比,MBCFET 提供了卓越的设计灵活性。晶体管被设计成有不同量的电流流过它们。在使用许多晶体管的半导体中,必须调节电流量,以便在所需的时序和控制逻辑下打开和关闭晶体管,这需要增加或减少沟道的...……更多
英特尔推出玻璃基板计划:重新定义芯片封装,推动摩尔定律进步
...先进封装。 1971年,英特尔的第一款微处理器拥有2300个晶体管,现在该公司的旗舰芯片拥有超过1000亿个晶体管,但这种进步大部分来自于芯片电路之间宽度的微型化。如今这种进步已经放缓。由英特尔创始人戈登·摩尔发明的...……更多
通往万亿晶体管芯片,关键技术揭秘
...01)作者 | ZeR0编辑 | 漠影到2023年,在1颗芯上集成1万亿个晶体管。——这是英特尔最新公布的“小目标”。什么概念?英伟达今年推出的最新旗舰通用GPU H100,在814mm²核心面积上集成了800亿个晶体管;英特尔即将推出的数据中心...……更多
英特尔继续推进摩尔定律:芯片背面供电,突破互连瓶颈
...推进摩尔定律,在2030年前实现在单个封装内集成1万亿个晶体管。·包括PowerVia背面供电技术、用于先进封装的玻璃基板和Foveros Direct技术预计将在2030年前投产。12月9日,英特尔在IEDM 2023(2023 IEEE 国际电子器件会议)上展示了使...……更多
你现在能刷手机,全靠他 50 年前的一句话
...ley)说起。这位科学家在贝尔实验室与其他人共同发明了晶体管,并获得诺贝尔物理学奖。威廉·肖克利,图片来源:维基百科为了赚更多钱,肖克利在1955年创办了自己的实验室——肖克利半导体实验室。实验室坐落于美国加州...……更多
台积电:2030年量产1nm、可封装1万亿个晶体管
...出更先进的N2系列工艺。这一系列工艺将集成超过1000亿个晶体管,并通过CoWoS、InFO和SoIC等多种封装技术实现。此外,台积电还计划使用EUV光刻、金属氧化物ESL等新材料和新技术。更令人期待的是,台积电还规划了1.4nm级别的A14和...……更多
Intel提了个小目标:处理器能效将提升10倍
...创始人之一的戈登·摩尔是摩尔定律的提出者,指出芯片晶体管密度18-24个月翻倍,50多年来Intel也是最坚定的摩尔定律捍卫者,尽管这几年中质疑定律失效的声音也越来越多。摩尔定律需要半导体工艺2年左右就要升级一代,才能...……更多
英特尔发布全新硅自旋量子比特芯片Tunnel Falls
...进的硅自旋量子比特芯片,利用了英特尔数十年来积累的晶体管设计和制造能力。IT之家附英特尔TunnelFalls芯片官方简介:英特尔表示,在英特尔的晶圆厂里,TunnelFalls是在300毫米的硅晶圆上生产的,利用了英特尔领先的晶体管工...……更多
Intel介绍PowerVia背面供电技术:电压有效降低,24年商用
...路线图,而事实上如今随着半导体制程工艺的愈发先进,晶体管之间将会出现量子隧穿等效应,从而降低晶体管的运行效率,从而提升CPU的能耗。事实上英特尔也在利用各式各样的供电技术减少这种情况出现,比如说全新的PowerV...……更多
英特尔组装完成全球最先进的EUV光刻系统
...进的光学设计将图案投影到硅晶圆上,从而实现分辨率和晶体管尺寸上的进步。英特尔即将推出的14A工艺英特尔在2月份宣布将代工业务剥离为一个独立的实体,当时就详细介绍了即将推出的Intel 14制造工艺。英特尔TWINSCAN EXE: 5000...……更多
米粒大小 英特尔发布量子计算芯片
...止最先进的硅自旋量子比特芯片,借鉴了该公司几十年的晶体管设计和制造技术。新芯片的发布是英特尔建立一个全栈式商业量子计算系统的长期战略的下一个步骤。虽然在通往容错量子计算机的道路上仍有必须解决的基本问题...……更多
台积电介绍其CFET晶体管技术:已进入实验室
...最新发展,其中之一便是英特尔未来将采用的堆叠式CFET晶体管架构。作为现阶段半导体制造技术的龙头,台积电(TSMC)也在2023欧洲技术研讨会活动中,介绍了其未来的GAAFET及CFET晶体管技术。据AnanadTech报道,台积电透露其CFET晶...……更多
没有EUV光刻机,也造不了5nm、3nm,国产芯片如何突破?
...思路走到尽头提高芯片性能最直接的方式尽可能多的增加晶体管的数量,这跟提升电动车续航靠堆更多的电池包别无二致。所以对于半导体行业的发展而言,先进芯片研发的传统思路,从来都是“在晶体管上做文章”,简单来说...……更多
台积电正在押注的硅光子,到底是什么?
...定律的讨论。但不管观点和结论如何,芯片业并没有停止晶体管数量的扩大,先进制程的推进以及未来的希望——芯片互连瓶颈的打破。而要打破芯片互连目前面临的技术瓶颈,关键在于「速度」。早在 2020 年,英特尔资深首席...……更多
英特尔Intel 4 制程节点已大规模量产,性能大增
...不断推进半导体技术的创新和发展,以求在性能、能效和晶体管密度等方面实现显著提升。而Intel4作为英特尔首个采用EUV技术生产的制程节点,无疑是这一努力的重要体现。极紫外光刻技术是一种先进的半导体制造技术,可以用...……更多
抢光刻机、截客户,三大芯片巨头缠斗2nm丨知料
...持续挑战更先进的芯片技术。GAA(Gate All Around,全环栅型晶体管技术)是当前一项核心科技。芯片里面的晶体管,可以拆解为三个模块:源极、栅极、漏极——电离子从源极出发、穿越栅极、进入漏极,构成一个完整回路。栅极...……更多
英特尔与联华电子合作开发12nm工艺平台
...,这项长期协议将英特尔在美国的大规模制造能力和FinFET晶体管设计经验,与联华电子在成熟制程节点上丰富的晶圆代工经验结合在一起,比如为客户提供工艺设计套件(PDK),以实现扩展的工艺组合。同时新平台还为全球客户...……更多
台积电的3nm节点与sram问题的解决方案
...在突然摩尔定律是半导体行业成功的基准,认为新芯片的晶体管数量应该是两年前芯片的两倍。英特尔、AMD和其他芯片设计人员希望确保他们跟上摩尔定律的步伐,而跟不上就意味着将技术优势拱手让给竞争对手。由于处理器只...……更多
英特尔3nm,加入战局
...软更新推出。值得一提的是,这将是最后一个采用 FinFET 晶体管的节点。你可能想知道英特尔如何在六个月内量产两个节点。但其实按照报道,英特尔的4nm(intel 4)和 3nm(intel 3)工艺由两个独立的团队同时开发。这本质上是Tick Tock ...……更多
为了复活摩尔定律 英特尔决定用玻璃来连接芯片
...官方还放出豪言,说在在2030 年之前,它们一个封装上的晶体管就能扩展到1万亿个。世超翻出摩尔定律的曲线图,目前一个封装的晶体管极限也就1340 亿个,来自苹果的M2Ultra芯片,1万亿个的数据和它相比,直接将近10 倍。再到...……更多
二维场效应晶体管的三维集成
在计算机芯片上的晶体管数量大约每18个月翻一番,这一产业发展的规律被称为摩尔定律。然而,随着近年来晶体管的尺寸趋近物理极限,摩尔定律的进一步发展对半导体工程师来说是一场噩梦,因为他们需要制造更小、更强大...……更多
日本半导体兴衰的隐秘角落
...另外两位物理学家共同发明了“20世纪最重要的发明”,晶体管,由此引发半导体技术革命。但在之后的很长时间里,由于价格昂贵,半导体技术还无法进入民用市场,只能用在实验室和军用产品上。半导体后来能够走进大众生...……更多
3nm的芯片战争,才刚刚开始
... A17 Pro。A17 Pro 采用了台积电最新的 3nm 工艺(N3B)制造,晶体管数量达到了惊人的 190 亿,这是全世界第一款采用台积电 3nm 工艺的手机芯片,也是 3nm 工艺第一次出现普通人就可以买到的大众消费品上。要知道,随着芯片尺寸越...……更多
...是M3芯片攻势凶猛,从M3到M3 Max,性能层层递进。首先从晶体管、架构和性能看。根据苹果的介绍,M3拥有250亿个晶体管,比M2多50亿个,采用了下一代架构的10核GPU,能使得图形性能比M1快65%,同时拥有的8核CPU,让CPU性能比M1快35%...……更多
英特尔实现3D先进封装技术的大规模量产
...快、成本更低的路径,以实现在单个封装中集成一万亿个晶体管,并在2030年后继续推进摩尔定律。英特尔的3D先进封装技术Foveros是业界领先的解决方案,在处理器的制造过程中,能够以垂直而非水平方式堆叠计算模块。此外,Fo...……更多
英特尔将在未来冲击1nm工艺:基于新一代光刻机
...体工艺将会达到A2,也就是0.2nm,基本上已经趋近于物理晶体管的极限了。毫无疑问根据英特尔曝光的消息,英特尔这里希望冲击的是A14以及A10两个工艺,相当于1.4nm以及1nm,只是这两个工艺距离正式量产还有相当长的距离,此外...……更多
英特尔第四季度营收140亿美元同比下滑32% 净亏损7亿美元
...五个节点的目标取得进展,并有望在2025年之前重新获得晶体管性能和电源性能的领先地位。英特尔7纳米工艺芯片目前正在为满足客户端和服务器需求进行大批量生产。英特尔4纳米工艺芯片已经准备就绪,预计在2023年下半年推...……更多
更多关于科技的资讯:
健力宝尽兴狂欢节启动仪式在海口举行
本文转自:人民网-海南频道人民网海口4月27日电 4月27日,健力宝大篷车系列活动启动仪式在海口市王府井海垦广场举行。健力宝海南分公司总经理陈雪峰出席
2024-04-28 08:00:00
本文转自:新华日报南通市海门区将党建链深度嵌入产业链链群融合,这里的机器人格外“火”□ 本报记者 徐超 丁威程 王世停4月16日
2024-04-28 05:16:00
本文转自:中国纪检监察报人力资源社会保障部等九部门日前联合发布了《加快数字人才培育支撑数字经济发展行动方案(2024—2026年)》
2024-04-28 05:18:00
本文转自:重庆日报结合产业需求在应用场景中搞研发哈工大如何将科研成果转化为“重庆造”产品新重庆-重庆日报客户端 记者 申晓佳发展新质生产力
2024-04-28 05:37:00
本文转自:四川日报□樊邦平甘肃天水麻辣烫走红网络,并带动地方旅游。为此,全国多地连日迎来天水麻辣烫店开业热潮,但有的遭遇“水土不服”
2024-04-28 05:38:00
本文转自:大连日报大连城市管理微信公众号上线试运行一起来参与“指尖上的城市管理”本报讯(大连新闻传媒集团记者吉存)为推动我市城市管理数字化转型
2024-04-28 05:18:00
“未来科技” 触手可及(图)
本文转自:天津日报关注2024中关村论坛年会记者现场体验 ——“未来科技” 触手可及(图)本报记者 袁诚 陈璠 文并摄中关村论坛首次在位于北京市海淀区
2024-04-28 05:41:00
本文转自:经济日报□ 江子扬最近,内容为“如果人类消失,最后一个人造光源会何时熄灭”的帖子有点火。置顶答案是:绝大多数灯都撑不了多久
2024-04-28 05:58:00
本文转自:经济日报林 涛在电商平台、外卖平台、线上即时超市下单时,人们往往会关注满多少钱包邮。“包邮”二字给消费者带来了满足感
2024-04-28 05:58:00
本文转自:解放日报为进一步响应《推动大规模设备更新和消费品以旧换新行动方案》的工作要求,积极落实上海市关于促进汽车消费及绿色智能家电消费补贴政策
2024-04-28 05:37:00
□南京日报/紫金山新闻记者 张甜甜王婷婷 通讯员王刚4月24日上午,南京经开区企业“出门问问”正式在港交所主板挂牌上市
2024-04-28 07:55:00
本文转自:辽宁日报据新华社北京4月27日电 (记者魏梦佳) 只需一段文字指令就能生成一段逼真视频,今年初,文生视频大模型Sora在全球人工智能业内外引发广泛关注
2024-04-28 05:58:00
本文转自:经济日报本报记者 吉蕾蕾近年来,“线上手机下单,线下骑手30分钟送达”的即时零售新业态在全国县域落地生根,带动众多县城小店数字化转型
2024-04-28 05:58:00
湖南郴州培育文旅消费新业态
本文转自:经济日报经济日报携手京东发布数据——湖南郴州培育文旅消费新业态□ 本期点评 谢 瑶数据来源 京东消费及产业发展研究院近年来
2024-04-28 05:58:00
本文转自:经济日报朱轶琳近年来,每逢假期临近,文博游就会升温。运用现代技术手段提升文博项目体验,大大增强了文博场馆的亲和力
2024-04-28 05:58:00