• 我的订阅
  • 科技

迈向万亿晶体管目标 业内持续探索半导体创新

类别:科技 发布时间:2023-11-06 14:43:00 来源:人民资讯

本文转自:人民网

人民网上海11月6日电 (焦磊)几十年来,“摩尔定律”被认为是科技进步的主要动力之一,预言了芯片日新月异的发展进程。科技企业通过不断探索,持续推进半导体创新,在产品领先性、制造、制程工艺及封装、系统级代工方面不断取得突破。

日前,在以“新时代,共享未来”为主题的第六届中国国际进口博览会(以下简称“进博会”)上,英特尔展现了推进摩尔定律的前沿探索与实践成果,以及运营20年的成都工厂的智能化成果。

经过长期的前沿探索,英特尔正在用新的方式继续推进摩尔定律,即通过半导体制程与工艺、先进封装等技术共同延续单位面积晶体管倍增的增长曲线。

首个EUV节点Intel 4将于2023年下半年按计划推出,比Intel 7每瓦性能将提高约20%;Intel 3预计将于2023年下半年投产,比Intel 4每瓦性能将提高约18%;Intel 20A将是首个应用PowerVia背面供电技术和新型全环绕栅极晶体管RibbonFET的制程节点;Intel 18A预计在2024年下半年投产,比Intel 20A每瓦性能将提升约10%……在制程与工艺方面,英特尔正以“四年五个节点”的路线不断突破,致力于在2025年重新获得晶体管的每瓦性能水平领先地位。

在先进封装方面取得的突破包括:可在封装中容纳更多晶体管的2.5D嵌入式多芯片互连桥接(EMIB)技术;让处理器能够进行3D立体式堆叠的3D Foveros技术;以及下一代3D Foveros Omni & Direct,它将提供新的微缩、互连技术和混搭能力。

通过持续提高芯片性能和可靠性,降低成本并提高生产效率,成为满足万亿晶体管集成时代计算需求的重要探索路径之一。

随着全球数字化浪潮的来临,半导体成为打造数字基座的重中之重。在数字经济中,算力发挥着基石作用。计算的作用正发生根本性转变,计算技术将指数级飞跃,驱动更广泛的经济领域发展。

巨大的市场需求催生了智能化晶圆制造、封装检测、芯粒和软件等系统级代工服务,这为芯片制造带来全新可能,有利于满足数字化未来的算力需求。

英特尔成都工厂基于技术创新,对晶圆预处理、封装及测试业务进行了全面升级,并携手合作伙伴实施了多种场景的智能化晶圆检测方案,助力提升产品的质量水平和生产效率。

以上内容为资讯信息快照,由td.fyun.cc爬虫进行采集并收录,本站未对信息做任何修改,信息内容不代表本站立场。

快照生成时间:2023-11-06 18:45:28

本站信息快照查询为非营利公共服务,如有侵权请联系我们进行删除。

信息原文地址:

英特尔重塑代工业务:按期推进4年5个节点计划、公布Intel 14A路线图、2030要成第二大代工厂
... PowerVia 背面供电技术的芯片,通过优化供电提高性能和晶体管密度。同时 18A 也是英特尔首个采用 RibbonFET 全周栅极(GAA)晶体管的节点
2024-02-22 09:20:00
英特尔在IEDM大会上展示新工艺,背面供电与堆叠晶体管纷纷亮相
...。英特尔正为其未来芯片寻找新的开发路线,包括3D堆叠晶体管以实现更高密度、扩展背面供电以及使用氮化稼来实现更高的传输功率等。总部位于圣克拉拉的芯片巨头将在本周于旧金山召开的第
2023-12-13 10:23:00
2nm大战 全面打响
...星表示,与 FinFET 相比,MBCFET 提供了卓越的设计灵活性。晶体管被设计成有不同量的电流流过它们。在使用许多晶体管的半导体中,必须调节电流量,以便在所需的时序和控制
2023-06-28 13:00:00
英特尔推出玻璃基板计划:重新定义芯片封装,推动摩尔定律进步
...先进封装。 1971年,英特尔的第一款微处理器拥有2300个晶体管,现在该公司的旗舰芯片拥有超过1000亿个晶体管,但这种进步大部分来自于芯片电路之间宽度的微型化。如今这种
2023-09-19 16:58:00
通往万亿晶体管芯片,关键技术揭秘
...01)作者 | ZeR0编辑 | 漠影到2023年,在1颗芯上集成1万亿个晶体管。——这是英特尔最新公布的“小目标”
2022-12-17 01:00
英特尔继续推进摩尔定律:芯片背面供电,突破互连瓶颈
...推进摩尔定律,在2030年前实现在单个封装内集成1万亿个晶体管。·包括PowerVia背面供电技术、用于先进封装的玻璃基板和Foveros Direct技术预计将在2030年前投产
2023-12-11 09:31:00
你现在能刷手机,全靠他 50 年前的一句话
...ley)说起。这位科学家在贝尔实验室与其他人共同发明了晶体管,并获得诺贝尔物理学奖。威廉·肖克利,图片来源:维基百科为了赚更多钱,肖克利在1955年创办了自己的实验室——肖克
2023-04-23 09:49:00
台积电:2030年量产1nm、可封装1万亿个晶体管
...出更先进的N2系列工艺。这一系列工艺将集成超过1000亿个晶体管,并通过CoWoS、InFO和SoIC等多种封装技术实现
2023-12-28 18:02:00
Intel提了个小目标:处理器能效将提升10倍
...创始人之一的戈登·摩尔是摩尔定律的提出者,指出芯片晶体管密度18-24个月翻倍,50多年来Intel也是最坚定的摩尔定律捍卫者,尽管这几年中质疑定律失效的声音也越来越多。摩尔
2023-03-01 20:51:00
更多关于科技的资讯: