• 我的订阅
  • 头条热搜
台积电1.6nm技术A16首次公开!2026年开始量产
...月25日消息,今天台积电在美国举行了“2024年台积电北美技术论坛”,公布了其最新的制程技术、先进封装技术、以及三维集成电路(3D IC)技术等。在论坛上,台积电首次公开了名为TSMC A16(1.6nm)的制程技术。据介绍,A16将结...……更多
英特尔在IEDM大会上展示新工艺,背面供电与堆叠晶体管纷纷亮相
芯片巨头声称,其演示的技术能够“显著”提高元件密度。英特尔正为其未来芯片寻找新的开发路线,包括3D堆叠晶体管以实现更高密度、扩展背面供电以及使用氮化稼来实现更高的传输功率等。总部位于圣克拉拉的芯片巨头将...……更多
台积电公布 1.6nm 芯片工艺,iPhone 19 或首发
...出了全新 1.6nm 工艺了。昨天,台积电公布了一系列新的技术成果,包括一个「A16」工艺。这项工艺将采用 1.6 nm 节点,将显著提升芯片的性能,预计 2026 年量产。「A16」的命名和苹果的 A16 芯片没有任何关系,「A」指代的是单位...……更多
英特尔3nm,加入战局
...。Intel 4 工艺将带来20% 的每瓦性能提升,并采用 EUV 光刻技术以获得更好的良率和密度。他进一步指出。英特尔还准备在 2023 年底推出其 3nm 等效工艺节点。这些晶圆专为服务器级至强芯片设计。第 5 代 Xeon Emerald Rapids-SP 将采用 I...……更多
英特尔继续推进摩尔定律:芯片背面供电,突破互连瓶颈
·随着背面供电技术的完善和新型2D通道材料的采用,英特尔正致力于继续推进摩尔定律,在2030年前实现在单个封装内集成1万亿个晶体管。·包括PowerVia背面供电技术、用于先进封装的玻璃基板和Foveros Direct技术预计将在2030年前...……更多
架构设计脱胎换骨!英特尔酷睿Ultra深度解析
...酷睿Ultra平台也拥有了不少全新特性,如基于Foveros3D封装技术的高性能混合架构,模块化的计算单元等等。同时它还升级了英特尔锐炫GPU,支持低功耗AI加速的NPU模块等等。接下来通过本篇文章,让我们一起认识英特尔酷睿Ultra。...……更多
三星电子在背面供电网络测试中取得了令人满意的结果
...,并对设计和制造产生了干扰。为了解决这个问题,BSPDN技术将芯片的供电网络转移到晶圆背面。这一创新简化了供电路径,消除了互连瓶颈,并减少对信号的干扰。最终结果是整体电压和功耗降低,特别适合移动端SoC的小型化...……更多
...续推进摩尔定律,即通过半导体制程与工艺、先进封装等技术共同延续单位面积晶体管倍增的增长曲线。首个EUV节点Intel 4将于2023年下半年按计划推出,比Intel 7每瓦性能将提高约20%;Intel 3预计将于2023年下半年投产,比Intel 4每瓦...……更多
3nm的芯片战争,才刚刚开始
...服务器、PC、游戏主机甚至是汽车用上更先进的芯片制造技术。但更大的投入、更先进技术是不是就等同于「正确」?可能也未必,iPhone 15 Pro 系列的散热风波还没有过去,关于良率或者说成本的拷问,也一直是 3nm 上空的「乌云...……更多
颀中科技:实现全制程扇入型晶圆级芯片尺寸封装技术 并已成功导入客户形成量产 【颀中科技:实现全制程扇入型晶圆级芯片尺寸封装技术 并已成功导入客户形成量产】财联社10月16日电,颀中科技接受调研时称,在铜柱凸块...……更多
英特尔代工业务网络研讨会分享技术发展路线图
...务上带来的变化外,该研讨会还分享了英特尔代工未来的技术发展路线图。根据制程工艺路线图,英特尔目标到18A节点重新成为一流代工厂,并在14A节点确立领先地位。在功耗方面,目前已有的Intel7节点落后于竞争对手,英特尔...……更多
英伟达RTX 50系显卡将支持DP 2.1和PCIe 5.0:采用台积电3nm工艺
...0系显卡也将在AI性能上再进一步,或许会支持包括DLSS 4等技术,看起来英伟达在游戏显卡领域的优势愈发明显,竞争对手也是看不到尾气,只是现在这个局面,不知道2024或者2025年等到英伟达发布RTX 50系显卡之后,大家能不能从...……更多
台积电推2nm,芯片制程极限升级,2nm或不再是我们理解的2nm了
...倍左右。如此巨大的提升与恐怖的密度,需要将芯片制造技术从2D平面,扩展到3D立体层面,像搭积木一样向上堆叠,才能进一步提升晶体管的密度。这其中的难度非同小可。 目前对于台积电推出的2nm工艺,有一些网友开始质疑...……更多
英特尔首推面向AI时代的系统级代工
...向AI时代的系统级代工——英特尔代工(IntelFoundry),在技术、韧性和可持续性方面均处于领先地位。 •英特尔代工宣布最新制程路线图,包括Intel14A制程技术、专业节点的演化版本,及全新的英特尔代工先进系统封装及测试(...……更多
...味着联合微电子中心成为目前西部唯一一家拥有芯粒集成技术的企业,技术水平国内领先。据介绍,芯粒是指预先制造好、具有特定功能、可组合集成的晶片,也被称作小芯片。芯粒集成技术,简单来说,就是将传统系统级芯片...……更多
英特尔18A工艺客户敲定:开发Arm架构64核心处理器
英特尔近年来大肆推广IDM2.0战略,计划将晶圆代工领域独立出来,从而为其他厂商提供晶圆代工服务,虽然在市场份额上远不如台积电和三星,但是由于英特尔在晶圆制造领域所取得的丰富成果,因此之前也有很多厂商与英特...……更多
中国大陆将拿下全球28%晶圆代工市场!但先进工艺只占1%
...制造厂商为了扩大产能,也只能去建12英寸厂,它本身的技术还是用8英寸的技术再做,但是工厂已经变成12英寸的工厂。” 从全球晶圆代工产能分布来看,2022年,全球47%的晶圆代工产能位于中国台湾,这主要是因为台积电、联...……更多
台积电下调全球晶圆代工业增长预期
...280亿美元至320亿美元之间;其中,约70%至80%用于先进制程技术,10%至20%用于成熟和特殊制程技术,10%用于先进封装测试和光罩生产等。他强调,相关支出规划以客户未来数年需求及增长为基础。魏哲家强调,台积电今年增长目标...……更多
\\\
...创新速度,这是产业与经济的最大隐忧。台积电不间断的技术攻坚,其主要动力来自全球科技业的创新能力,终端装置持续创新,才用得上最尖端的芯片。另一方面,芯片企业彼此的竞争,也有助于行业持续追求创新。因此当创...……更多
台积电宣布“A16”芯片制造技术将于 2026 年量产
...25 日消息,台积电周三宣布其名为“A16”的全新芯片制造技术将于 2026 下半年投入量产,标志着台积电与长期竞争对手英特尔之间关于谁将能够制造出全球最快芯片的较量再次升级。作为全球顶尖的晶圆代工企业,台积电是英伟...……更多
三星计划2025年量产2nm制程:比肩台积电,希望抢得更多芯片订单
...家想象之中提升地大。而2nm制程工艺将会基于更加先进的技术,包括GAAFET纳米片晶体管与背部供电,根据之前曝光的数据,能够比3nm提升10-15%的性能,而同等频率下功耗则降低25-30%。也就是说等到2025年,包括三星也台积电都将...……更多
芯片的功耗问题不断提升
...之密切相关且不断加速的功耗泄漏战斗。FinFET在16/14纳米技术中解决了漏电门问题,但仅在两个节点之后问题再次出现。在3纳米制程中,引入了与众不同的全包围栅极场效应管(即纳米片)结构,这使得设计、计量、检验和测试...……更多
二维场效应晶体管的三维集成
...,由英特尔创始人戈登·摩尔在1965年提出。他预测,随着技术进步和制程不断缩小,集成电路上的晶体管数量大约每18个月会增加一倍。这个预测是基于当时的技术趋势和市场预期做出的。摩尔定律的主要思想是通过缩小晶体管...……更多
英特尔马格德堡fab29晶圆厂项目蓝图公布
...尔近日依德国环保规定公布了其位于德国马格德堡的Fab29晶圆厂项目的蓝图。▲英特尔德国马格德堡Fab29晶圆厂项目概念图▲整体项目蓝图蓝图显示,英特尔在马格德堡购入了相当大的一块土地,目前一期的Fab29.1和Fab29.2两栋建筑...……更多
台积电去年四季度净利跌近两成但超预期,预计今年半导体产业增长10%
...长暨发言人黄仁昭表示,2023年第四季的业绩得益于3纳米技术持续强劲成长。台积电3纳米产品主要用来代工苹果芯片。公告显示,3纳米制程出货占四季度销售金额的15%。台积电总裁魏哲家说,2023年下半年大量量产,全年贡献约6...……更多
2022年中国CMP抛光液市场规模现状及行业发展前景预测[图]
...:共研网化学机械抛光(CMP)是半导体先进制程中的关键技术,其主要工作原理是在一定压力下及抛光液的存在下,被抛光的晶圆对抛光垫做相对运动,借助纳米磨料的机械研磨作用与各类化学试剂的化学作用之间的高度有机结...……更多
微软与英特尔在芯片制造领域合作
...域的发展。英特尔的18A工艺采用了业界领先的极紫外光刻技术,能够实现更高的晶体管密度和更低的功耗。这一工艺的优化也为微软的定制芯片提供了强大的性能支持。据了解,微软的定制芯片将用于增强其AI服务,包括去年11...……更多
深圳公司冲上市,和美国日本巨头抢市场丨专精快报
...和0.64亿元。招股书披露,这主要是因为特色工艺半导体技术更新以及市场需求增长,以及外部环境变化,国内晶圆制造厂商为了产业链安全,寻求国内半导体掩模版厂商进行配套,替代进口。业绩半导体掩模版生产厂商可以分...……更多
台积电2nm芯片崭露头角,iPhone 17 Pro或成首款搭载
...伟达和苹果。虽然台积电尚未对此事置评,但公司表示2nm技术的研发正在顺利进行,计划在2025年实现量产。这一纳米片晶体管技术承诺提高性能、能效和晶体管密度。据台积电称,在相同功率下,3nm的速度将提高15%,而在相同...……更多
第一次EUV极紫外光刻!Intel 4工艺官宣大规模量产
Intel官方宣布,已经开始采用EUV极紫外光刻技术,大规模量产Intel4制造工艺。这是Intel首个采用EUV生产的制程节点,对比前代在性能、能效、晶体管密度方面均实现了显著提升。Intel4工艺首发用于代号MeteorLake的酷睿Ultra处理器,...……更多
更多关于科技的资讯:
工程界领袖沃伦·伊斯特将担任英国工程技术学会主席
工程界领袖沃伦·伊斯特(WarrenEast)被任命为英国工程技术学会(IET)第143任主席。 WarrenEast作为一名杰出的工程师和行业领袖
2024-10-21 16:45:00
首创270度电动无级旋转座椅!极氪MIX九种座椅模式发布
快科技10月21日消息,极氪汽车近日宣布,其新车型极氪MIX将于10月23日上市。这款新车特色之一是配备了两把极氪首创的270度旋转功能的电动无级调节座椅
2024-10-21 16:53:00
博主吐槽瓜子二手车坑人:18万卖车 结果被多开了4万多的发票
快科技10月21日消息,今日,知名博主“科技新一”发文吐槽瓜子二手车,称它和骗子平台没有区别,18.59万元卖车,结果被多开了4万元发票
2024-10-21 16:53:00
2K显示器大降价!销量暴增65% 加速淘汰1080P
快科技10月21日消息,根据洛图科技(RUNTO)最新发布的报告显示,2024年第三季度,中国大陆显示器整体线上零售市场的销量达到了269万台
2024-10-21 16:53:00
千元就享纳米皮!moto g55霞光紫图赏
快科技10月21日消息,联想日前发布了千元新机moto g55,今天现货开售,8GB+128GB版本售价1299元,8GB+256GB版本售价1399元
2024-10-21 16:53:00
蚌埠市禹会区:“屏”什么是这里?
本文转自:人民网-安徽频道陶伟“蚌埠是我们考察的第七个城市,相比另外几座城市,条件其实不是最好。”回忆5年前企业总部从深圳向内地迁移时的抉择
2024-10-21 16:54:00
2023年1月,国家疾控局综合司发布《加快建设完善省统筹区域传染病监测预警与应急指挥信息平台实施方案》,明确指出传染病监测预警与应急指挥信息平台建设的迫切需求与核心价值
2024-10-21 17:10:00
任泽区工商联助力小微企业解决融资难题“工商联数据贷”惠及309家小微企业河北日报讯(吕若汐、刘晓天)“这笔钱真是太及时了
2024-10-21 17:20:00
AM4接口八年了还在更新!AMD将推出2款锐龙5000新品
快科技10月21日消息,今天有爆料者透露,AMD将推出两款基于AM4接口的6核锐龙5 5000系列CPU的新品。AMD将推出的新品包括锐龙5 5600XT和锐龙5 5600T两款
2024-10-21 17:23:00
BOSE QiuteComfort Earbuds消噪音耳机评测:穿行于闹市,宁静随我心
一、前言:好声音,更亲民自1964年BOSE公司创立以来,一直以其卓越的音频产品享誉全球。无论是专业的影院级音响设备,还是家用的小音箱
2024-10-21 17:23:00
一对情侣夜爬冰晶顶失温遇难:疑从野路去看云海
快科技10月21日消息,据媒体报道,近日秦岭冰晶顶发生一起户外探险事故,引发广泛关注。多位网友发帖透露,尽管救援队伍迅速响应并实施救援
2024-10-21 17:23:00
2024年以来,工行无锡分行营业部认真贯彻中央金融工作会议精神,坚持将普惠金融作为落实金融工作政治性、人民性的重要抓手
2024-10-21 17:25:00
本文转自:人民网-河北频道近日,河北省工业和信息化厅对中车齐车集团石家庄公司申报的省级“机器人+”典型应用场景项目进行了现场核查
2024-10-21 17:29:00
宏明博思药业院外事业部三季度总结暨四季度规划会议
2024年10月16-17日,四川宏明博思药业有限公司院外事业部在江西南昌成功召开了三季度总结暨四季度规划会议。本次会议由院外事业部总经理陈光主持
2024-10-21 17:30:00
广西联通圆满完成2024环广西世巡赛通信保障任务
本文转自:人民网-广西频道保障人员在赛事起终点测试网络。广西联通供图10月20日,经过6个比赛日的争夺,2024环广西公路自行车世界巡回赛在南宁圆满落幕
2024-10-21 17:41:00