• 我的订阅
  • 头条热搜
英特尔推广intel18a工艺节点
2月27日消息,根据韩媒TheElec报道,英特尔已针对韩国无晶圆厂芯片公司,大幅增加了营销活动,以推广自家的Intel18A工艺节点。消息称英特尔首席执行官帕特・基辛格于去年会见了多位韩国公司的高级管理人员,并向他们介绍...……更多
英特尔重塑代工业务:按期推进4年5个节点计划、公布Intel 14A路线图、2030要成第二大代工厂
英特尔于北京时间今天凌晨 0 点 30 分举办了 IFS Direct Connect 2024,在宣布 IFS 更名为 Intel Foundry 之外,还公布了未来十年的工艺路线图,尤其提及了 1.4nm 的 Intel 14A 工艺。英特尔在本次活动中宣布了大量的动态信息,IT之家梳理汇..……更多
比 18A 高 15%,英特尔高管透露英特尔 14A 节点性能功耗比信息
2024-03-12 14:50:29 作者:姚立伟在3月12日的SPIE 2024会议上,英特尔高级副总裁安妮·凯莱赫透露了有关Intel 14A工艺节点的信息。根据她的介绍,Intel 14A工艺节点的性能功耗比(Performance per watt)将比Intel 18A提高15%。而后……更多
微软与英特尔在芯片制造领域合作
...据外媒报道,近日,微软宣布其即将推出的定制芯片将由英特尔代工,采用英特尔最新的18A(1.8nm)工艺进行制造。这一消息是微软首席执行官萨提亚·纳德拉在公开场合宣布的,标志着微软与英特尔在芯片制造领域的紧密合作...……更多
英特尔代工业务网络研讨会分享技术发展路线图
4月3日消息,英特尔近日举办了一场代工业务网络研讨会。除了展示代工部门独立计算在财务上带来的变化外,该研讨会还分享了英特尔代工未来的技术发展路线图。根据制程工艺路线图,英特尔目标到18A节点重新成为一流代工...……更多
英特尔与联华电子合作开发12nm工艺平台
英特尔宣布,将与联华电子(UMC)建立战略合作伙伴关系,双方将合作开发12nm工艺平台,以应对移动、通信基础设施和网络等高增长市场的需求。双方将致力于满足客户需求,并在设计支持方面进行合作,通过实现生态系统合...……更多
英特尔在IEDM大会上展示新工艺,背面供电与堆叠晶体管纷纷亮相
...片巨头声称,其演示的技术能够“显著”提高元件密度。英特尔正为其未来芯片寻找新的开发路线,包括3D堆叠晶体管以实现更高密度、扩展背面供电以及使用氮化稼来实现更高的传输功率等。总部位于圣克拉拉的芯片巨头将在...……更多
英特尔获美国政府近200亿美元资金支持,未来5年要投资千亿美元
英特尔 IC 资料图英特尔将从美国政府处获得总计近200亿美元的资金。当地时间3月20日,美国商务部宣布,美国政府与英特尔达成一份不具约束力的初步条款备忘录(PMT),将根据美国《芯片与科学法案》向英特尔提供至多85亿...……更多
英特尔18A工艺客户敲定:开发Arm架构64核心处理器
英特尔近年来大肆推广IDM2.0战略,计划将晶圆代工领域独立出来,从而为其他厂商提供晶圆代工服务,虽然在市场份额上远不如台积电和三星,但是由于英特尔在晶圆制造领域所取得的丰富成果,因此之前也有很多厂商与英特...……更多
英特尔将在lga1851上发布流星湖
由于围绕英特尔即将推出的第14代流星湖处理器的许多谣言,以及该公司在最近泄露的未来五个季度的C...……更多
2022-12-16 15:28星湖,英特,英特尔
英特尔2024年底推出第15代arrowlake处理器
3月2日消息,英特尔有望在2024年年底推出第15代ArrowLake处理器,采用先进的Intel20A工艺节点以及Foveros3D封装技术,其性能比现有第14代处理器有大幅提升。消息源“结城安穗-YuuKi_AnS”近日发布推文(IT之家访问,发现已删除),...……更多
英特尔独中200亿美元“大礼包” 台积电和三星仍看菜下碟?
...终于落地。拜登总统今日在亚利桑那州宣布一项协议,为英特尔提供85亿美元的直接拨款和110亿美元的贷款,以及未来5年25%的税收减免。这一接近200亿美元的“大礼包”也让英特尔得到了迄今为止《芯片法案》出台之后最大的一...……更多
架构设计脱胎换骨!英特尔酷睿Ultra深度解析
2023年12月15日,英特尔正式发布了第一代酷睿Ultra处理器平台,也就是首个基于Intel4制程工艺(7nm)打造的移动级处理器平台,其核心代号为MeteorLake,产品系列贴标设计也采用了全新方案。同时在命名方面也不再使用酷睿i3、i5...……更多
...第六届中国国际进口博览会(以下简称“进博会”)上,英特尔展现了推进摩尔定律的前沿探索与实践成果,以及运营20年的成都工厂的智能化成果。经过长期的前沿探索,英特尔正在用新的方式继续推进摩尔定律,即通过半导...……更多
芯片战场丨英特尔2023年营收542亿美元:PC业务复苏 数据中心下滑
1月26日,英特尔(INTC.O )发布了2023年第四季度和全年财报。虽然全年收入同比下降,但是第四季度超预期,收入利润双双增长。数据显示,按照非通用会计准则,英特尔第四季度营收为154亿美元,同比增长10%;毛利率48.8%,上...……更多
台积电或2030年才采用High-NA EUV光刻机
去年末,ASML向英特尔交付了业界首台High-NAEUV光刻机。这是具有高数值孔径(High-NA)和每小时生产超过200片晶圆的极紫外光(EUV)大批量生产系统,提供0.55数值孔径,与此前配备0.33数值孔径透镜的EUV系统相比,精度会有所提高...……更多
英特尔首推面向AI时代的系统级代工
•英特尔首推面向AI时代的系统级代工——英特尔代工(IntelFoundry),在技术、韧性和可持续性方面均处于领先地位。 •英特尔代工宣布最新制程路线图,包括Intel14A制程技术、专业节点的演化版本,及全新的英特尔代工先进...……更多
英特尔arrowlakes台式机cpu将支持更多指令
...面端支持更多的指令并不罕见。但爆料人@InstLatX64发现,英特尔ArrowLakeS台式机CPU将比笔记本电脑CPU支持更多的指令。根据《50thFutureISAGuide》文件,LGA1851封装中的ArrowLake处理器将支持AVX-VNNI-INT16、SHA512、SM3和S……更多
英特尔Intel 4 制程节点已大规模量产,性能大增
英特尔中国在其官方公众号上宣布了一则重要消息,该公司已于近日开始采用极紫外光刻(EUV)技术大规模量产Intel4制程节点。这一里程碑式的进展标志着英特尔在推进“四年五个制程节点”计划方面取得了重要成果,并将用...……更多
英特尔计划2027年完成10nm节点的投产
英特尔在IFSDirectConnect大会上透露,公司计划于2027年底完成10nm节点的投产。目前14nm节点已经进入“有意义”的量产阶段,预计将在2026年完成。此外,英特尔还确认了下一个主要节点——10nm的研发工作正在积极推进,并有望在...……更多
英特尔:2024年将有windows更新刺激pc换代需求
10月8日消息,据英特尔透露,2024年将有“Windows更新”刺激PC换代需求,希望能提升其收入。在上个月的花旗分析师会议上,英特尔首席财务官DavidZinsner谈到了明年的Windows更新,暗示消费者可能会因为Windows的新版本而升级他们...……更多
基辛格:定制芯片将在2025年达到顶峰
1月22日消息,在英特尔MeteorLake芯片发布会上,其首席执行官帕特基辛格(PatGelsinger)指出公司正按计划在四年内完成五个节点,最终将在2025年达到顶峰,包括Intel7、Intel4、Intel3、Intel20A和Intel18A工艺。但目前来看,英特尔似乎...……更多
英特尔发布酷睿第14代台式机处理器:睿频升至6GHz,支持一键超频
北京时间10月16日晚间,英特尔正式发布了全新的酷睿第14代台式机处理器产品家族,包括六款全新未锁频台式机处理器,拥有最多24核心和32线程,最大睿频频率高达6GHz。此外,由于比前一代增加了4个能效核,i7-14700K拥有20核心...……更多
英特尔发布全新软硬件平台,全速助力企业推进AI创新
中关村在线消息,美国当地时间4月9日,英特尔举办了面向客户和合作伙伴的英特尔on产业创新大会,宣布了英特尔至强6处理器的全新品牌,推出英特尔Gaudi3加速器,以高性能、开放性和灵活性助力企业推进生成式AI创新,并发...……更多
英特尔第五代至强可扩展处理器发布:AI推理性能提升42%!
12月15日下午,英特尔在中国北京召开了主题为“AI无处不在,创芯无所不及”的2023英特尔新品发布会暨AI技术创新派对,正式发布了代号为“Emerald Rapids”的面向服务器、数据中心的第五代至强可扩展处理器,相比上代至强平均...……更多
围攻英特尔,Arm芯片还需多久?
10月27日凌晨,英特尔公布了2023年第三季度财报。在经历长达一年多的去库存周期后,英特尔PC业务终于重回正轨,在营收、盈利等关键数据上远超预期。可见,随着市场对于PC芯片的需求趋于改善,市场期待已久的行业复苏正...……更多
龙芯中科胡伟武:3A6000下一步将达到英特尔先进工艺性能 【龙芯中科胡伟武:3A6000下一步将达到英特尔先进工艺性能】《科创板日报》28日讯,龙芯中科董事长胡伟武在2023产品发布会上表示,3A6000走出了一条基于成熟工艺、通...……更多
三星和英特尔在2nm工艺上激烈竞争!追赶台积电
...、韩国三星电子(第二)和重新进入晶圆代工市场的美国英特尔,都在加速开发先进的2nm工艺。目前,最先进的量产技术是三星电子和台积电生产的3nm工艺。三星于去年6月开始量产3nm工艺,台积电则于今年年初开始量产。然而...……更多
台积电今年3nm营收将大幅增加:三位大佬争着要
...于台积电来说,利润丰厚的3nm制程并不缺卖家,预计随着英特尔以及AMD的入局,今年台积电3nm制程的营收将会得到巨大的提升。据报道称,台积电的3nm产能在去年几乎都被苹果包圆,而3nm制程的营收占到了全部营收的15%,而到了...……更多
英特尔新一代Nova Lake处理器展望:采台积电2nm工艺
...电此前表示,2纳米芯片将在2025年如期量产,据悉苹果和英特尔都在排队等待获得首批产品。业界传出的消息称,英特尔新一代NovaLake处理器将采用台积电的2纳米制程。市场消息称,苹果和英特尔等公司对台积电首批2纳米芯片表...……更多
更多关于科技的资讯:
动力电池领域正在呈现马太效应,是好事还是坏事?
“马太效应”是美国科学史研究者罗伯特·莫顿(Robert K. Merton)在20世纪六七十年代提出的术语,用于描述“声誉通常给予那些已经出名的研究者”的社会心理现象
2024-07-22 09:30:00
AI学习机销售额增长136.6%!记者调查:不少售价高于5000元,家长争相购买
本文来源:时代周报 作者:王夏 郭美婷暑期已然过半,教育市场仍然活跃。7月19日,商务部公布今年上半年我国电子商务发展情况
2024-07-22 09:31:00
云和AI时代加速来临 腾讯云发布国产服务器操作系统TencentOS Server V3
随着云和AI时代加速来临,服务器OS作为承接底层硬件与上层应用的核心,如何更好地发挥软硬件性能、实现服务器资源的最大化利用
2024-07-22 09:32:00
网友在苹果 iPhone 15 Pro 上跑 Win11,体验惨不忍睹
IT之家 7 月 21 日消息,有网友在社交媒体上晒出了一系列截图,声称在苹果 iPhone 15 Pro 手机上成功运行了 Windows 11 系统
2024-07-22 09:34:00
索尼中国高管关注人形机器人研究:机器人逐步解放繁重人力
索尼在华综合性品牌活动Sony Expo 2024于BilibiliWorld 2024期间亮相上海,这是Sony Expo首次在ACG(动画
2024-07-22 09:36:00
听院士伯伯讲“造岛神器”、体验MR科普游戏 上海科技馆暑假周末营精彩启航
东方网记者王佳妮7月21日报道:“网红”机器狗、“天鲸号”海上绞吸挖泥船模型、“不喝油、不充电”的遥控车、 MR科普游戏……今天下午
2024-07-22 09:37:00
从短剧到 AI 大模型,新兴行业如何让组织「跟上」业务?
新兴行业背后的组织引擎,到底是什么。去年 6 月,Midjourney 创始人 David Holz 向极客公园表示,Midjourney 可能是第一家凭借 20 人左右的团队
2024-07-22 09:37:00
给iPhone背面贴个AI录音机,生意老好了
iPhone不让电话录音,创业者们就给它加个GPT版录音「物理外挂」!在国外众筹平台Indiegogo上,一款能贴在iPhone背面的“AI卡片录音机”
2024-07-22 09:38:00
AI视频修复速度10倍提升,过曝变色也能逐帧搞定
家人们,消除“视频闪烁”(比如画面突然一白)有新招了!回想一下,当你看一部老电影或者用手机拍摄的视频时,画面偶尔会出现闪烁或颜色不一致等现象
2024-07-22 09:38:00
前谷歌科学家Yi Tay「LLM演义」系列博客第一弹:BERT为何匿迹江湖?
【新智元导读】前谷歌科学家Yi Tay重磅推出「LLM时代的模型架构」系列博客,首篇博文的话题关于:基于encoder-only架构的BERT是如何被基于encoder-decoder架构的T5所取代的
2024-07-22 09:39:00
高于临床测试3倍准确率!剑桥大学开发AI模型,提前6年预测阿尔茨海默症
【新智元导读】剑桥大学研究利用人工智能建立机器学习模型精准预测阿尔茨海默症发展,准确率远超临床测试结果,为阿尔兹海默症早期干预开辟新路径
2024-07-22 09:40:00
OpenAI掀小模型血战!苹果DCLM强势登场,碾压Mistral 7B全开源
【新智元导读】小模型时代来了?OpenAI带着GPT-4o mini首次入局小模型战场,Mistral AI、HuggingFace本周接连发布了小模型
2024-07-22 09:41:00
奥特曼「造芯」计划再曝新进展,前TPU团队华人工程师领衔,最快年内官宣
【新智元导读】从去年开始,奥特曼就已经开始满世界飞,到处找人拉投资、谈合作,在积极推进他在OpenAI内部实现「自研芯片」的计划
2024-07-22 09:42:00
三星2nm制程将增加30%的EUV光刻层
7月19日消息,据韩媒TheElec报道,与三星的3nm制程相比,明年即将量产三星2nm制程将会多出30%的极紫外(EUV)光刻层
2024-07-22 09:44:00
清华提出时间序列大模型:面向通用时序分析的生成式Transformer
【新智元导读】大模型在语言、图像领域取得了巨大成功,时间序列作为多个行业的重要数据类型,时序领域的大模型构建尚处于起步阶段
2024-07-22 09:44:00