• 我的订阅
  • 头条热搜
台积电“日本二厂”浮出水面:投资规模更大 工艺制程更先进
...电规划产能为4.5万片12寸晶圆/月,初期将采用22/28nm工艺制程。 索尼半导体社长清水照士在今年6月曾表示,光是索尼一家的半导体需求,就要比日积电熊本厂的产能要多。他也透露,台积电已经找索尼讨论过建设第二座日本晶...……更多
台积电将在日本建第二工厂 采用22/28nm工艺
...资工厂即将于明年2月举行开业典礼。该工厂采用22/28纳米制程工艺,为相关客户代工晶圆,预计投资约70亿美元。近日,合资工厂总裁YuichiHorita在演讲中透露,该工厂将于明年四季度开始商业化生产,并逐步提升产能至月产5.5万...……更多
中国大陆将拿下全球28%晶圆代工市场!但先进工艺只占1%
...的晶圆代工市场份额将降至42%,韩国也将降至10%。从先进制程和成熟制程的产能占比变化趋势来看,2022年二者的占比分别为29%和71%,预计未来数年,仍将大致保持3:7的比例。从各区域先进制程产能分布来看,2022年中国台湾地区...……更多
走在前沿!日本芯片企业Rapidus计划兴建1nm芯片工厂
...,千岁工厂将新建2栋以上的制造厂房,分别对应不用的制程技术;此外,为了强化技术开发,预计2023年员工人数将较目前的100人翻一番,2024年以后将进一步扩招。兴建厂房小池淳义会上所指的两栋准备兴建的厂房设施中,一栋...……更多
日本AI创企拿5900亿补贴!日本政府总投资近万亿,2nm芯片量产加速
...发布会上称,预计到2027年,Rapidus将大规模生产2nm或更小制程的芯片。Rapidus位于北海道千岁市的第一座晶圆厂“IIM-1”于2023年9月动工建设,预计今年12月完工。IIM-1晶圆厂试生产线计划于2025年4月启动,2nm芯片量产计划于2027年开...……更多
抢光刻机、截客户,三大芯片巨头缠斗2nm丨知料
...作者丨邱晓芬编辑丨苏建勋近期,行业内关于2nm以下芯片制程的进展频频。12月14日,台积电在一次会议上首次提到,其1.4nm制程已经开始研究,并且计划在 2027-2028年器件量产。与此同时,台积电2nm制程的进展也近期也颇快。此...……更多
三星2nm工艺抢得先机?已收到日本AI公司的芯片订单
...望能抢先一步实现量产,以速度压倒对方,从而在新一代制程节点上获得竞争优势。此前有报道称,三星为了获得英伟达等行业巨头的订单支持,考虑为2nm订单提供折扣,以进一步挑战台积电的领导地位。据BusinessKorea报道,有...……更多
为掌握 2nm 工艺,半导体公司Rapidus宣布全球范围内“招兵买马”
...息,根据路透社报道,日本财团 Rapidus 为了掌控 2nm 工艺制程,要和台积电等行业领先公司竞争,计划开启全球“招兵买马”计划,吸纳全球半导体人才,以重振日本的芯片产业。图源 Imec Rapidus 计划 2027 年在日本本土开始大规...……更多
深圳公司冲上市,和美国日本巨头抢市场丨专精快报
...团副总裁,是2016年南坡A高管集体离职事件当事人之一。制程水平是衡量掩模版产品技术水平的最关键指标。掩模版产品的精度要求是与制程水平息息相关的,随着掩模版制程水平的提高,掩模图案绘制的最小线宽缩小,精度要...……更多
台积电四季度净利润增长78%,计划2025年量产2nm芯片
...工厂,其业绩表现和未来规划都值得关注。优势来自先进制程的垄断台积电为几乎所有世界主要芯片开发商制造芯片,包括苹果、英伟达、高通和联发科。能够抵御行业低迷的不利影响,台积电主要受益于其在先进工艺芯片市场...……更多
Chiplet可提升芯片良品率,远期市场规模超570亿美元!
...思理解,其实就是“粒度更小的芯片”。Chiplet能在先进制程下提升芯片的集成度,从而在不改变制程的前提下提升算力,并保证芯片制造的良品率。Chiplet技术将原来集成于同一系统单晶片中的各个元件分拆,独立为多个具有特...……更多
强震后,日本半导体该走向何方?
...向下一代半导体制造技术的发展,希望在日本本土实现2nm制程以下的先进晶圆制造。以此强化日本的产业力量,引领世界制造业。(Rapidus官网对发展愿景的介绍) 当然业界对此也存在疑虑。一种观点认为,日本参与投资的本土...……更多
日本佳能:我们能造2nm芯片!不需要ASML光刻机
...就可以在特定的位置形成复杂的2D或3D电路图。当下的5nm制程的先进半导体制造设备市场,则由ASML的EUV光刻机所垄断,单台价格约1.5亿美元。对于接下来更为先进的2nm及以下制程的芯片,ASML也推出了成本更为高昂的High-NAEUV光刻...……更多
新鲜早科技丨英伟达将华为列为竞争对手;华为将发布通信大模型;台积电日本厂正式投产
...并且发布通信大模型。3、 英特尔CEO证实与台积电合作3nm制程产品。英特尔CEO帕特·基辛格证实,与台积电的合作已由5纳米制程推进至3纳米,公司将把两款处理器最关键的CPU芯片块首度交给台积电生产。也即最快2024年第四季登...……更多
消息称台积电正考虑在日本建第二芯片工厂,预计投资超一万亿日元
...,定于2024年底投产的熊本工厂将负责生产22/28nm以及12/16nm制程芯片,月产能为5.5万片),预计将于2025年之后开始运营。该公司强调两个工厂共享人力资源和设备的能力,并计划在2023年内决定细节。图源Pexels报道指出,台积电似...……更多
...造5纳米工艺级别的尖端半导体产品。目前,要量产尖端制程的半导体产品,必须使用被荷兰ASML(阿斯麦)垄断的采用“极紫外光刻(EUV)”技术的装置,但这种装置存在价格昂贵、耗电量大等问题。为了向市场投放新装置,佳...……更多
台媒:中国大陆半导体成熟制程产能激增挑战台湾龙头地位
...奖励措施的带动下,半导体产能将大举扩张,尤其在成熟制程领域,2027年产能占全球比重将高达39%,挑战台湾地区龙头地位。报道指出,美国不断扩大管制半导体设备及AI芯片对华出口,并联合日本及荷兰等盟友进行围堵,导致...……更多
三星计划2025年量产2nm制程:比肩台积电,希望抢得更多芯片订单
目前在先进制程上,基本上就是台积电独领天下了,基本上所有的先进制程芯片产能都让台积电进行代工,特别是3nm制程工艺基本被台积电包圆,而台积电的营收也是节节攀升。不过对于先进工艺的追求不单单是台积电在研究...……更多
3nm的芯片战争,才刚刚开始
...成本。这其中,智能手机一直是最有实力和动力推动先进制程工艺不断前进的力量。一方面是因为智能手机需要在极小的内部空间里塞下算力惊人的芯片,同时还要极可能降低芯片的功耗和发热;图/苹果 另一方面是芯片制造太...……更多
三星称其3nm工艺良品率已达到60至70%
...年12月,也宣布启动3nm工艺的大规模生产,双方开始为3nm制程节点的订单展开激烈竞争。不同的是,台积电仍使用传统的FinFET(鳍式场效应晶体管)。据FNNews报道,三星表示其3nm工艺量产后的良品率已达到60%到70%之间。这个数字...……更多
三星在积极研发更先进的芯片制程
...用于Exynos处理器的SystemLSI部门之外)采用其3nm及更新的4nm制程工艺。然而,三星仍在积极研发更先进的芯片制程,其中就包括2nm制程。据BusinessKorea报道,三星Foundry正致力于下一代环绕栅极晶体管(GAA)技术的研发,该技术将用于...……更多
最高降价20%!台系成熟工艺度日如年 中芯国际也不好过
据台媒报道,目前成熟制程晶圆代工业者正面临60%产能利用率的保卫战。有传闻称,联电、世界先进、力积电等晶圆代工厂为了提升产能利用率,大砍明年一季度的成熟制程晶圆代工报价,降价幅度高达两位数百分比,部分项...……更多
首发2nm芯片!苹果A19 Pro逐渐浮出水面,你会买单吗?
...商才会进行使用。虽然有很多用户觉得4nm,3nm,2nm这几个制程感知不强,除非能够把AI提升到让人耳目一新的感觉,否则以目前手机性能需求来看4nm足够用上3年以上。但是有了新的工艺之后,才能够让手机厂商和芯片厂商更好的...……更多
英特尔独中200亿美元“大礼包” 台积电和三星仍看菜下碟?
...Chiplet的一体化方案。全面来看,英特尔不仅“四年五个制程节点”路线图在稳步推进,全新代工路线图包括Intel 3、Intel 18A、Intel 14A技术的演化版本业已划定时间点,计划包括2024上半年引入Intel 20A(相当于2nm)工艺,下半年引入...……更多
印钞机停不下来:去年台积电晶圆代工均价狂飙22%,3nm代工费超14万
...生产出来,就需要晶圆代工厂商来帮忙,而对于亟需先进制程的公司来说,包括台积电、三星甚至英特尔已经是首选,并且伴随着4nm、3nm等制程工艺的普及,晶圆代工厂商的生意也是越来越红火,并且带动了晶圆代工均价的提升...……更多
光伏行业蓬勃发展 拉普拉斯IPO驶上发展快车道
...决方案提供商,主营业务为光伏电池片制造所需高性能热制程、镀膜及配套自动化设备的研发、生产与销售,其中热制程设备主要包括硼扩散、磷扩散、氧化及退火设备等。光伏发电成本已至拐点,是能源革命的重要支撑根据IRE...……更多
麒麟9000和骁龙8+哪个好?从制程工艺、核心架构等对比分析
...关注的芯片,它们各自具有独特的优势和特点。本文将从制程工艺、核心架构、网络连接和视频播放等方面,对麒麟9000和骁龙8+进行深入比较,以帮助用户更好地了解这两款芯片的性能差异。#玩转AI摘要#麒麟9000采用了先进的5nm...……更多
Socionext拟联合台积电 开发2nm制程ARM处理器 【Socionext拟联合台积电 开发2nm制程ARM处理器】《科创板日报》27日讯,日本芯片制造商Socionext宣布联合台积电,开发一款32核ARM处理器,该处理器将采用台积电2nm制程工艺,以及Arm Neover...……更多
英特尔3nm,加入战局
...时,英特尔日本负责人分享了公司在未来四年内重新夺回制程领先地位的计划。他表示。随着 Alder 和 Raptor Lake 的 7nm(Intel 7)节点问世,Meteor Lake 的 4nm(i4)晶圆已经量产。Intel 4 工艺将带来20% 的每瓦性能提升,并采用 EUV 光刻...……更多
三星pm9c1a已用上先进5纳米制程据介绍
三星正在大力推送SSD的主控工艺升级,在业界普遍采用12nm工艺主控的大环境下,三星将自家最新PM9C1aSSD的主控升级到了先进的5nm工艺。据官方介绍,在5nm主控的加持下,PM9C1a与其前代产品相比,能效提升70%,当笔记本电脑进入...……更多
更多关于科技的资讯:
16GB+1TB旗舰突降1164元,2K三星E7屏+5100mAh,骁龙8Gen3旗舰售价更亲民
在如今竞争激烈的智能手机市场,vivo旗下的iQOO系列一直以其极高的性价比和卓越的性能而著称,成为了许多消费者的首选
2024-05-27 14:09:00
替代NVIDIA,摩尔线程&无问芯穹联手首次实现国产GPU端到端AI大模型实训
近日,NVIDIA在国内市场挑战越来越大,在5月25日的文章《受华为AI芯片挑战,NVIDIA中国特供GPU降价?》中我们了解到
2024-05-27 15:11:00
魅族与lipro打造如然护眼屏,定义健康护眼新标准
日前,魅族重磅发布了全新AI手机——魅族21Note,其最引人注目的亮点是搭载了一块由魅族与智能健康照明专家lipro共同研发的「如然护眼屏」
2024-05-27 14:00:00
助力车企出海提速,虹软AITRAK通过ISA STU型式认证
近日,虹软AITRAK系列产品中的TD320正式获得欧盟ISA(IntelligentSpeedAssistance)STU(SeparateTechnicalUnit)型式认证
2024-05-27 14:00:00
云南康康哒健康科技发展有限公司完成8000万元天使轮融资
云南康康哒健康科技发展有限公司于2024年5月1日完成8000万元天使轮融资,此轮融资主要投资来自于FCP,康康哒是一家“互联网+智能硬件+智慧零售+新媒体+大数据+社交娱乐”的创新型科技茶企
2024-05-27 14:01:00
代加工产业格局再升级!时代顺成助更多品牌实现高质量发展
“功效化”是当前消费者对护肤品市场提出的核心诉求。在“该省省该花花”的理性消费潮流和科学护肤认知普遍加深的背景下,消费者很大程度上更看重产品的功能价值
2024-05-27 14:13:00
“雄安无人农场技术应用大赛”决赛开赛
本文转自:中国新闻网中新网雄安5月27日电 (记者 崔涛)2024雄安未来之城场景汇“雄安无人农场技术应用大赛”决赛27日在雄安新区开赛
2024-05-27 14:24:00
本文转自:中国经济网新闻背景:随着年中电商促销活动的到来,传统出版行业的一些困境再次显现在公众面前。据报道,北京8家出版社和上海出版经营管理协会代表上海46家出版单位联合发出声明
2024-05-27 14:25:00
冷链“保驾”农产品储存销售 让“鲜起来”的农产品运得出、卖得好
本文转自:央视网央视网消息:进入夏季,温度升高,生鲜农产品冷链储运的需求增长明显。为了延长农产品保鲜期,一些果蔬产地正在加快新建
2024-05-27 14:30:00
一批“中国星”闪耀苍穹揭示太空奥秘 背后是谁迎难而上、勇挑重担?
本文转自:央视网央视网消息:系列报道《大国科学家》,今天我们来认识我国空间科学的领军人物、探月工程四期首席科学家——王赤院士
2024-05-27 14:31:00
南威软件集团董事、总裁徐春梅:释放数据要素价值 让城市运行更高效、城市管理更有序、为民服务更精细
本文转自:人民网聚焦第七届数字中国建设峰会南威软件集团董事、总裁徐春梅:释放数据要素价值 让城市运行更高效、城市管理更有序
2024-05-27 14:36:00
本文转自:人民网“自行车队比赛时,会有个‘破风手’领骑,为后面的成员减少风阻,最终成为冠军的往往都是后面受益的队员,成功的荣誉是属于整个自行车队的
2024-05-27 14:42:00
本文转自:中国新闻网中新网北京5月27日电 (记者 孙自法)如何推进“透视地球”项目实现重大标志性成果产出?中国科学院院士
2024-05-27 14:49:00
本文转自:人民日报客户端近日,中国电信人工智能研究院(TeleAI)发布业内首个支持30种方言自由混说的语音识别大模型——星辰超多方言语音识别大模型
2024-05-27 14:51:00
本文转自:人民日报客户端人民日报数字传播在第七届数字中国建设峰会期间,人民日报数字传播特邀国家信息中心大数据发展部主任于施洋做客专访间,共话数字中国建设高质量发展。
2024-05-27 15:02:00