• 我的订阅
  • 头条热搜
三星宣布强化制程技术路线图:推出SF2Z和SF4U工艺
...EmpoweringtheAIRevolution”主题下,三星公布了强化制程技术路线图,其中包括了SF2Z和SF4U工艺,以及三星AI解决方案。三星将在SF2Z工艺上集成了经过优化的“BSPDN(背面供电网络)”技术,将电源轨置于晶圆的背面,以消除电源线和...……更多
三星发布先进芯片工艺路线图:新版2纳米制程2027年量产,研发生产时间缩短20%
6月13日,三星电子在“2024年三星代工论坛”上,发布未来多项芯片技术的进展,并表示其代工业务计划为客户提供一站式服务,整合其全球排名第一的存储芯片、代工和芯片封装服务,以更快地生产人工智能芯片,以利用人工...……更多
本文转自:科技日报科技日报首尔6月16日电 (记者薛严)三星电子日前在美国硅谷举行“2024年三星代工论坛”,表示2027年将引入尖端晶圆代工技术,推出两种新工艺节点,形成包括人工智能半导体研发、代工生产、组装在内...……更多
台积电1.4nm正式现身!A14工艺已研发完成
...芯片设计人员和制造商带来一些新的挑战。与三星的技术路线图相似,台积电计划在2027年推出SF1.4工艺(即1.4nm级别),纳米片的数量从3个增加到4个,这有望显著改善性能和功耗的表现。因此,在时间上来看,台积电的A14工艺...……更多
英特尔重塑代工业务:按期推进4年5个节点计划、公布Intel 14A路线图、2030要成第二大代工厂
...布 IFS 更名为 Intel Foundry 之外,还公布了未来十年的工艺路线图,尤其提及了 1.4nm 的 Intel 14A 工艺。英特尔在本次活动中宣布了大量的动态信息,IT之家梳理汇总如下:IFS 更名为 Intel Foundry英特尔首席执行官帕特・基辛格(Pat Gels...……更多
谷歌全自研芯片跳票,预计推迟到2025年发布
...,将采用台积电3nm制程工艺。根据目前台积电公布的技术路线图,到2025年将开始2nm制程工艺的量产,因此到时Google Tensor G5可能仍旧定位为一款中端芯片。返回搜狐,查看更多责任编辑: ……更多
三星称其 3nm 良率可达 60~70%
...圆代工技术座谈会,公布了下一代晶圆代工先进制程量产路线图。台积电原本计划在今年下半年量产N3E,但现已将其推迟到明年。此外,进一步升级的N3P和N3X将于2025年开始量产,面向汽车行业的N3A则将于2026年开始量产。一位业...……更多
英特尔3nm,加入战局
...的小节点,但有所不同。(详细可以参考文章《最新工艺路线图》)N3B即原来的 N3,与 N3E 无关。与其将其视为 nodelet,不如将其视为一个完全不同的节点。在 IEDM 2022 上,透露了 N3B 的一些方面。N3B 具有 45nm 的 CGP,与 N5 相比缩...……更多
传三星已启动代号“Thetis”的2nm芯片开发计划
...alaxyS26系列智能手机。此前三星公布了到2027年的制程技术路线图,列出了2022年6月量产SF3E(3nmGAA,3GAE)以后的半导体工艺发展计划,显示SF2的技术开发工作将于2024年第二季度完成,并在2025年量产。从这点来看,与“Thetis”芯片...……更多
英特尔代工业务网络研讨会分享技术发展路线图
...的变化外,该研讨会还分享了英特尔代工未来的技术发展路线图。根据制程工艺路线图,英特尔目标到18A节点重新成为一流代工厂,并在14A节点确立领先地位。在功耗方面,目前已有的Intel7节点落后于竞争对手,英特尔计划于近...……更多
不可一世的ASML急了
...域,明显看中了台积电占有优势的市场。考虑到英特尔的路线图,18A 工艺的量产计划在 2025 年,与 ARM 合作的收获期至少要到那之后,但英特尔对 EUV 光刻机的需求,又该加上一笔。不同于台积电和三星,自从帕特·基辛格(Pat G...……更多
砸下8400亿元后,三星先被自己人背刺
...圣何塞举办的“晶圆代工论坛”上公布了最新的代工工艺路线图,按照计划,三星DS部门将在2027年完成SF2Z(2nm)工艺的量产工作,三星方面表示,这一节点将极富创新性地采用背面供电网络技术。以此看来,三星的豪赌仍在继...……更多
传三星3nm GAA工艺良品率已提升两倍,但仍然不如台积电
...略被迫推迟至2025年。此前三星公布了到2027年的制程技术路线图,列出了2022年6月量产SF3E(3nmGAA,3GAE)以后的半导体工艺发展计划,其中包括了SF3(3GAP)、SF3P(3GAP+)、SF4P、SF4X、SF2、SF3P、SF2P和SF1.4等。按照原计划,今年三星...……更多
三星电子在背面供电网络测试中取得了令人满意的结果
...过10%。然而,由于目前超额完成开发目标,三星计划修改路线图,在明年推出的2nm工艺中首次应用背部供电技术。此外,三星电子的主要竞争对手台积电和英特尔也在积极布局背部供电领域。根据科技博客MoreThanMoore的消息,台...……更多
3nm的芯片战争,才刚刚开始
...括 N3B(即 N3)、N3E、N3P、N3X 等多个版本。 台积电制程路线图,图/台积电甚至在业界传闻中,就连苹果也是与台积电签订了一份「对赌」协议,规定未来一年台积电 N3B 工艺为苹果专用,且废片均由台积电承担成本,而非苹果...……更多
2nm大战 全面打响
...电等竞争对手。英特尔声称,如果正确执行 IFS 和 IDM 2.0 路线图,Intel 18A 代工节点应该在技术上和上市时间上击败台积电 2 纳米级节点。从技术上来说,Intel 20A及intel 18A不仅是他们首批进入埃米节点的工艺,在其上还会首发两大...……更多
英特尔18A工艺客户敲定:开发Arm架构64核心处理器
...上半年和大家正式见面。目前英特尔18A制程工艺是英特尔路线图中最先进的版本,虽然实际并没有采用1.8nm的制程工艺,但是英特尔却表示自家的制程功能在性能以及晶体管密度上相当于友商的1.8nm的工艺,因此过去英特尔对于...……更多
英特尔独中200亿美元“大礼包” 台积电和三星仍看菜下碟?
...目标装入了催化剂。业内人士认为,英特尔面临先进工艺路线图及时交付、代工成本和客户订单的压力,要在五六年内将自身目标和美国愿景“合一”,既要靠实力,也要时运助力。承载“全村”希望之所以对英特尔如此“慷慨...……更多
微软与英特尔在芯片制造领域合作
...客户不断增长的需求。此外,英特尔还公布了其制程技术路线图的最新进展。除了18A工艺外,英特尔还计划在未来几年内推出多个专业节点的演化版本和全新的制程技术。英特尔首席执行官帕特·基辛格确认,英特尔的“四年五...……更多
台积电或2030年才采用High-NA EUV光刻机
...刻机,可能是出于对成本的考虑。根据台积电之前公布的路线图,1.4nm级A14工艺的推出时间大概在2027年至2028年之间,而1nm级A10工艺的开发预计会在2030年前完成。此前ASML首次财务官RogerDassen在接受采访时表示,High-NAEUV光刻机可以...……更多
Intel 18A/20A工艺流片了!潜在代工客户达43家
...EO帕特基辛格带领下,提出了IDM 2.0战略,不仅修订了制程路线图,目标四年五个节点,还开放IFS代工服务,竞争台积电、三星等。据悉,按照Intel相关人士的说法,其埃米级工艺节点20A(2nm)和18A(1.8nm)已经流片,也就是设计定案...……更多
IMEC公布亚1nm晶体管路线图
...讯系统技术(ICT)。近日,IMEC就发布了其1nm以下工艺的路线图,分享了对应的晶体管架构研究和开发计划。据TomsHardware报道,IMEC的工艺路线图显示,FinFET晶体管将在3nm走到尽头,然后过渡到新的GateAllAround(GAA),预计2024年进...……更多
2035年,cpu的能效将提升41倍
...制程的提升也是必不可少,因此AMD也展示了处理器的制程路线图,称目前CPU的物理制程为5nm以及4nm,而到了2024年将会大规模采用3nm制程,至于到了2026年则是2nm制程,从而让CPU的能效比大幅提升。不过我们从路线图可以看到,制...……更多
光刻胶国产自主路线图
...定性替代机会。至此,我国大陆半导体光刻胶产业自主化路线图就清晰了不少,整体可分三步走:首先在成熟制程实现面向中资晶圆厂的验证导入,形成对日美光刻胶供应商的部分替换;然后在先进新建产线与中资晶圆厂配套研...……更多
英特尔将在未来冲击1nm工艺:基于新一代光刻机
...的技术大会上,英特尔就已经公布了未来数年的工艺制程路线图,包括Intel 4、Intel 3、Intel 2乃至于Intel 18A,而现在又有消息称英特尔已经开始为之后两代制程工艺做准备以及命名。除了英特尔之外,半导体组织也给出了他们对于...……更多
苹果iphonex开始搭载oled市场
...的三种路径,制表丨果壳硬科技参考资料丨《Micro-LED技术路线图(2020版)》[6],有删改Micro-LED还有个不得不面对的致命问题:在微缩过程中,时常会产生侧壁缺陷。比如,同样是2μm的误差缺陷,在250μm×250μm尺寸的LED上,剩余...……更多
新鲜早科技丨英伟达业绩远超预期,盘后股价直线拉升;谷歌开源Gemma大模型
...—英特尔代工(Intel Foundry)。该公司还拓展了制程技术路线图,新增了Intel 14A和数个专业节点的演化版本。该公司证实,其“四年五个制程节点”路线图仍在稳步推进,并将在业内率先提供背面供电解决方案。英特尔预计将于20...……更多
传Arrow Lake放弃Intel 20A工艺
...owLake将完全由台积电负责制造。在英特尔公布的制程工艺路线图里,Intel20A制程节点将凭借RibbonFET和PowerVia两大突破性技术开启埃米时代,并计划在2024年上半年进入风险生产阶段,是非常重要的一环。如果情况属实,对致力于先...……更多
...。值得注意的是,今年6月,三星官方公布了最新的技术路线图,并计划在2025年推出2纳米级的SF2工艺,在2027年推出1.4纳米级的SF1.4工艺。这些新技术将使公司能够更快地适应市场需求并保持竞争优势。然而,在实现这些目标的过...……更多
三星开发首款5纳米emram车用存储
...FinFET工艺的14nm工艺。IT之家从报道中获悉三星电子公布了路线图,计划在2024年量产14nm工艺eMRAM,并计划在2026年量产8nm、2027年量产5nm。与14纳米工艺相比,三星8纳米eMRAM具有将密度提高30%、速度提高33%的潜力。三星表示通过采用...……更多
更多关于科技的资讯:
魏建军:我们不怕竞争 怕的是不公平竞争
快科技6月30日消息,在今天上午的长城全场景NOA挑战第二弹的直播当中,长城汽车董事长魏建军表示,民营企业生而竞争,我们根本就不怕竞争
2024-06-30 14:11:00
暴雨+雷电双黄预警高挂!上海“暴力梅”卷土重来:雨刷器都快刷冒烟了
快科技6月30日消息,受梅雨带影响,今天凌晨开始上海市出现阵雨或雷雨天气。上海市天气官微发文提醒:“暴力梅”卷土重来,很梅很暴力
2024-06-30 15:11:00
肾脏很怕的6种食物 很多人几乎天天都吃
肾脏是我们身体里非常重要的“过滤器”,是排出身体废物的重要器官之一。如果它出现了问题,我们的身体也会出现一系列症状,严重还会危及生命
2024-06-30 15:11:00
仅旗舰机能用!荣耀赵明谈苹果AI:平权主义在哪里
快科技6月30日消息,在世界移动通信大会上,荣耀CEO赵明认为苹果新推出的Apple Intelligence仅限于iPhone 15 Pro系列使用
2024-06-30 15:11:00
暴跌超27%!这个618智能投影仪熄火了 四大原因
快科技6月30日消息,据洛图科技(RUNTO)的在线监测数据,2024年618促销期(5月20日至6月23日)中国智能投影在线上零售渠道(不包含拼抖快)的销量为48
2024-06-30 15:11:00
每年可赚20多万!闲鱼账号成离婚财产争夺物
快科技6月30日消息,据媒体报道,近日,一对95后夫妻的离婚案引起了社会的广泛关注,因为他们的财产争夺对象除了传统的资产外
2024-06-30 15:41:00
2024全球冷链大会召开,上嘉物流登榜 “中国冷链物流百强”
6月27-28日,以“全球链接,跨界融合"为主题的“2024第十六届全球食品冷链大会”于南昌顺利召开。本次大会由中国物流与采购联合会
2024-06-30 16:00:00
多用途公商务车的代表车型之一:一汽丰田考斯特累计达产9万台
快科技6月30日消息,一汽丰田成都工厂近日迎来了第9万辆柯斯达(考斯特)车型的下线。自1997年国产化以来,已成为中国高端多用途公商务车代表的车型的一个重要里程碑
2024-06-30 16:11:00
999元 中兴天机A41手机特惠:骁龙870+ 5000mAh电池
快科技6月30日消息,中兴天机A41发布于2022年05月16日,上市价4399元,目前将至999元。据悉,中兴天机A41搭载骁龙870
2024-06-30 16:11:00
潮声丨以旧换新,换出美好新生活
潮新闻 以旧换新,正快步向我们走来。今年以来,国务院印发相关行动方案,推动新一轮大规模设备更新和消费品以旧换新。浙江积极响应并出台若干举措
2024-06-30 21:50:00
华为Mate X5折叠屏手机降价1500元 但只限两个版本
【CNMO科技消息】6月28日,CNMO注意到,据华为终端官方消息,华为旗下高端折叠屏手机华为MateX5降价1500元
2024-06-30 22:11:00
华为7月新品大爆发!至少有6款新品 含音响、手机等
【CNMO科技消息】此前,有博主透露华为计划在7月推出四款全新产品,其中包括备受瞩目的SoundX音箱的全新版本。这意味着
2024-06-30 22:12:00
Xiaomi Civi 4 Pro迪士尼公主限定版开箱
小米全新联名产品——XiaomiCivi4Pro迪士尼公主限定版今晚正式发布了,手机中国也提前拿到了这款产品。作为Civi系列又一款联名限定款
2024-06-30 22:14:00
AI模型火拼,科大讯飞选择做“老实人”?
“孙悟空的金箍棒和哈利波特的魔杖有什么不同点?”科大讯飞研究院院长刘聪向星火大模型V4.0提出了这个有趣的问题。只见星火V4
2024-06-30 22:21:00
荣耀赵明MWC上海采访:基于用户痛点打造AI离焦护眼
在6月26日的上海世界移动通信大会上(以下简称MWC上海),荣耀CEO赵明发表了《AI共生时代,智能终端终将以人为中心赋能》主题演讲
2024-06-30 22:21:00