• 我的订阅
  • 头条热搜
三星电子开发出透光率达88%的euv薄膜
...韩国公司S&STech在2021年生产成功开发出了透光率达90%的半导体EUV薄膜,一举成为除了ASML之外另一家成功开发出了透光率超过90%的EUV薄膜的公司。据称,三星对它的要求是将比率提高到94%。业界预测,今年EUV薄膜的需求将比去...……更多
...性能PTC热敏陶瓷等产品,同时致力于航天应用、新能源、半导体等新兴产业的陶瓷零部件的研发与生产。蓬勃的区域创新动力,释放创新资源集聚吸引力。去年,宜兴新增省级院士工作站3家、列全省县级市第一,新增省级工程...……更多
机构调研个股:怡合达机构关注度最高,高增长低估值股被盯上
...在调研中汉钟精机透露,公司真空产品目前多用于光伏和半导体行业,其中光伏行业主要在拉晶和电池片环节,拉晶环节占比较多,其次是电池片环节。前三季度电池片环节的成长速度较快,主要以TOPCon制程为主。在半导体行业...……更多
英特尔独中200亿美元“大礼包” 台积电和三星仍看菜下碟?
...礼”不仅为其“五年大计”注入了新动能,也为美国加强半导体制造回流、到2030年先进代工占据20%的目标装入了催化剂。业内人士认为,英特尔面临先进工艺路线图及时交付、代工成本和客户订单的压力,要在五六年内将自身...……更多
艾森股份上市首日涨118.4% 募资6.2亿元华泰联合保荐
中国经济网北京12月6日讯今日,江苏艾森半导体材料股份有限公司(股票简称:艾森股份,股票代码:688720.SH)在上交所科创板上市。该股开盘报60.00元,最高至66.80元,截至收盘报61.20元,涨幅118.34%,成交额8.16亿元,振幅24.26%...……更多
SK海力士准备1cnm DRAM:第六代10nm级别工艺
...的损失。三星上个月在美国硅谷举行的“Memcon2024”全球半导体大会上表示,计划今年底量产1cnmDRAM产品,12月前获得客户验证。 ……更多
佳能押注“纳米压印”芯片生产设备:比ASML EUV售价少一位数
...有能力购买。为此,从2017年开始,佳能就与铠侠,以及半导体零组件制造商大日本印刷株式会社(DNP)合作,研发基于纳米压印(NIL)的量产技术,在不使用EUV的情况下将制程技术推进到5nm。佳能表示,这套生产设备的工作原...……更多
鑫闻界|蔡嵩松离任外,看“基金+产业”式发展的山东半导体样本
记者 王赟十月过半秋近尾,半导体基金的话题一直在升温。半个月前,9月29日,诺安基金公告,诺安成长、诺安积极回报、诺安和鑫的基金经理蔡嵩松,因“个人原因”离任。每年会跑三到四场马拉松的蔡嵩松以对半导体的专...……更多
盘前情报丨3月起中泰两国将永久互免对方公民签证
...家安全概念,以各种借口胁迫其他国家搞对华科技封锁。半导体是高度全球化的产业,在各国经济深度融合的背景下,美方有关霸道、霸凌行径严重违背国际贸易规则,严重破坏全球半导体产业格局,严重冲击国际产业链、供应...……更多
纽约州与ibm等半导体巨头达成合作,投资100亿美元
...布与IBM、美光、应用材料、东京电子(东京威力科创)等半导体巨头达成合作,投资100亿美元(IT之家备注:当前约718亿元人民币)在纽约州AlbanyNanoTechComplex建设下一代High-NAEUV半导体研发中心。▲图源:IBM根据声明,负责协调该...……更多
反击终于有结果了?美国突然宣布“解禁”,外媒:简直可笑
作为全球半导体技术的发源地,美国掌握了大量芯片技术,并孕育了诸如高通、英特尔和英伟达等芯片巨头。这些企业凭借其领先的技术,在全球市场上获得了巨大成功,尤其是在中国市场,它们几乎形成了垄断地位。然而,...……更多
韩国总统力推“半导体同盟”
...首相举行首脑会谈并发表联合声明,宣布两国正式结为“半导体同盟”。尹锡悦率韩国“芯片大佬”参观阿斯麦韩国总统尹锡悦12日访问了荷兰半导体设备制造商阿斯麦总部。三星电子会长李在镕、SK集团会长崔泰源一同参观了...……更多
陕西先进光子器件工程创新平台落成
...时,先进光子器件工程创新平台将有效解决第三代化合物半导体芯片卡脖子环节中的外延生长与制程问题,为客户提供芯片制造环节中的全流程服务(如外延生长、光刻、刻蚀、薄膜制备、清洗、减薄、抛光、划片等)。其客户...……更多
台积电三季度净利降25%:3纳米需求强劲,库存接近谷底
...订量环比大幅下降42%。阿斯麦CEO Peter Wennink表示,目前,半导体行业正处于周期底部,ASML的客户均期待能在今年年底看到拐点,“客户对行业内需求复苏的态势仍不确定,因此我们预计2024年将成为一个过渡年。我们对2024年持保...……更多
SK海力士将升级中国半导体工厂:采用第四代10纳米工艺
...华极紫外(EUV)光刻机出口相关限制,以提升其在中国的半导体工厂的技术水平。这一举动被视为,随着半导体市场的复苏以及中国高性能半导体制造能力的提升,一些韩国芯片企业正在采取一切可以使用的方法来提高在华工厂...……更多
近30亿元!ASML明年生产10台高NA EUV光刻机:Intel独吞6台
...麦将在2024年生产最多10台新一代高NA(数值孔径) EUV极紫外光刻机,其中Intel就定了多达6台。同时,三星星也在积极角逐新光刻机,台积电感觉压力巨大。NA数值孔径是光刻机光学系统的重要指标,直接决定了光刻的实际分辨率,...……更多
市场日报丨三大指数齐跌超1%,卫星导航概念逆市拉升;富士康被查,2900亿市值大白马跌停!净利腰斩还能涨,圣龙股份走出12连板
...节先行,国产高端光刻机的发展有望获得推动,光刻机及半导体设备产业链将有望同步受益。半导体板块持续走低,寒武纪逼近跌停,通富微电、帝科股份跌超6%,创耀科技、中颖电子、瑞芯微等跟跌。三大指数集体收跌,沪指...……更多
集微咨询《全球半导体设备零部件市场研究报告》
集微网报道,半导体零部件支撑着半导体设备行业,继而支撑半导体芯片制造和整个现代电子信息产业。半导体零部件是指在材料、结构、工艺、品质和精度、可靠性及稳定性等性能方面达到了半导体设备及技术要求的零部件...……更多
半导体材料ETF涨1.24%,获北向资金连续9天加仓
3月7日,沪指高开,带动盘面整体飘红,半导体材料ETF(562590)盘初一度涨超2%,截至10:23,仍保持涨势,涨1.24%。权重股北方华创盘中大涨超3%,达到盘中60日新高。从资金流向来看,北向资金已经连续9天加仓半导体行业,昨日...……更多
捷佳微创涨超10%,半导体材料ETF(562590)涨超2%
截至14:10,创业板走强,创业板指涨幅3.22%,中证半导体材料设备指数涨幅大幅扩大,指数涨2.59%,半导体材料ETF(562590)涨幅2.35%,90%成分股飘红,捷佳伟创领涨,涨超10%,兴森科技、天岳先进、鼎龙股份、晶盛机电、华峰测控...……更多
尹锡悦称韩国与荷兰将强化“半导体同盟”,韩媒:与美收紧对华限制有关
...以下周的荷兰之行为契机,重点讨论加强韩国与荷兰之间半导体同盟的事宜。韩媒称,随着美国收紧半导体相关对华出口限制,韩国与荷兰的合作关系变得非常重要。报道称,尹锡悦将自下周一(11日)起对荷兰进行为期4天的国...……更多
今日,近期表现不佳的半导体板块终于反弹。半导体板块(中信)早盘小幅低开走弱,盘中板块创下阶段新低后拉升翻红上涨,午后涨幅回落,截至收盘涨0.63%。主力资金方面,半导体板块获主力资金净流入近9亿元。个股方面...……更多
韩国、荷兰组建“芯片联盟”:阿斯麦与三星将共建芯片研究中心
...山大、三星电子会长李在镕和SK集团会长崔泰源共同走访半导体设备制造商阿斯麦总部,与该公司现任首席执行官彼得·温宁克参观主要设施,并探讨加强芯片供应链和技术创新领域合作的方案。这也是1961年两国建交以来,韩国...……更多
英特尔Intel 4 制程节点已大规模量产,性能大增
...新一代的领先产品。英特尔一直以来都在致力于不断推进半导体技术的创新和发展,以求在性能、能效和晶体管密度等方面实现显著提升。而Intel4作为英特尔首个采用EUV技术生产的制程节点,无疑是这一努力的重要体现。极紫外...……更多
芯片战上,美方起了内讧,限制不住华为,美国要吃日本这块肥肉
...然这也符合美国的战略部署,因为近年,美国在限制中国半导体和AI产业发展的同时,加速了和日本在相关领域的合作,英伟达等科技公司都顺应相关要求表示将在日本设立工厂和研发基地。(黄仁勋与西村康稔会面)而且选在...……更多
...和安全局(BIS)发布了针对芯片的出口禁令新规,对于中国半导体的制裁进一步升级。从新规名称可以看到,此次限制的核心对象是先进计算半导体、半导体制造设备和超级计算机项目。而此次新规事实上是美国对2022年10月7日发布...……更多
收评:沪指缩量跌0.74%失守3000点 低位新能源股逆势反弹
...发展涨停。券商股继续活跃,锦龙股份涨停。下跌方面,半导体板块集体调整,光刻机方向领跌,张江高科跌停。高位股继续大跌,好上好、上海沪工、双鹭药业等跌停。总体上个股跌多涨少,两市超3500只个股下跌。沪深两市...……更多
A股午盘涨幅收窄,沪指3000点得而复失
...。但午前的跳水,导致两市涨幅迅速收窄。从盘面上看,半导体产业链全线反弹,光刻机方向领涨;光通信、算力、GPU、机器人、卫星导航、新能源车等题材涨幅居前。 至午间收盘,上证综指涨0.73%,报2979.56点;科创50指数涨2....……更多
英特尔马格德堡fab29晶圆厂项目蓝图公布
...,建筑物整体高度达到36.7米。其中第二层将成为High-NAEUV光刻机的落座地,上下两层用于材料物流。▲厂房蓝图Fab29.1和Fab29.2两座厂房整体长530米,宽153米,占地面积达约81000平方米。根据IT之家以往报道,英特尔CEO帕特・基辛格...……更多
荷兰最大的公司要离开?政府正在协商
...兰经济事务大臣米基·阿德里安森斯说,荷兰政府正在与半导体设备制造商阿斯麦公司进行协商,以确保这家荷兰最大的公司不会因为本国的反移民政策而迁往别国或向国外扩张。这一消息最早是由荷兰《电讯报》报道的。该报...……更多
更多关于科技的资讯:
曝车外能语音唤醒小爱同学解锁车窗 小米汽车:8月份OTA升级防御功能
快科技7月20日消息,日前,小米汽车发布了小米SU7答网友问(第四十六集)。有网友提问,网传车外能语音唤醒小爱同学解锁车窗
2024-07-20 07:52:00
印度男子体内藏1公斤黄金坐飞机:一个举动引起怀疑
快科技7月20日消息,狠人再现!据印度当地媒体近日报道,印度航空一名乘客因走私逾一公斤黄金在德里英迪拉·甘地国际机场被捕
2024-07-20 07:52:00
三电机1548匹马力!小米V8S超级电机何时上车 小米回应
快科技7月20日消息,昨日晚间,小米汽车正式发布了小米SU7 Ultra原型车,车辆采用全碳设计,车辆的超强性能引起关注
2024-07-20 07:52:00
百度沈抖:解决算力问题,一云多芯是中国企业的必然选择
7月19日,2024中国联通合作伙伴大会在上海召开。百度集团执行副总裁、百度智能云事业群总裁沈抖受邀出席此次大会,并发表“深度拥抱人工智能+
2024-07-20 08:00:00
7月19日下午,由金华零重卫星工厂承制的“前沿一号”卫星顺利下线交付,并将于8月中旬搭载中国长征火箭有限公司的捷龙三号运载火箭发射升空
2024-07-20 08:15:00
厦门网讯(厦门日报记者 沈彦彦 通讯员 张悦)走进厦门新能达科技有限公司的智慧工厂,科技感扑面而来,只见自动化机械手臂
2024-07-20 08:25:00
随着巴黎奥运会的临近,全球目光逐渐聚焦于这场体育盛事。对于资本市场而言,这不仅仅是一场体育竞赛,也是一次潜在的投资机遇
2024-07-20 09:07:00
质造·2024|第二届宠物供应链大会在山东临沂圆满举行
7月17日,由山东省宠物行业协会与宠业家联合主办的第二届宠物供应链大会在山东临沂正式开幕。据悉,本届大会主会场以“质造·2024”为主题
2024-07-20 09:19:00
拓客户、观趋势、开辟海外市场,就来ACE2024亚餐展
2024年上半年,国内火锅赛道竞争趋于白热化,正加速进入“大浪淘沙”的洗牌期。有数据显示,火锅门店数量正持续减少,2024年1-5月
2024-07-20 09:20:00
全车碳纤维 小米SU7 Ultra原型车首发 雷军:贵到连我都买不起
快科技7月20日消息,在昨晚年度演讲中,小米SU7 Ultra原型车正式发布,由于没有量产,所以也未公布价格,但雷军表示“贵到连我都买不起”
2024-07-20 09:22:00
鸿蒙等加油!微软蓝屏让多国瘫痪背后:我国要大力发展自研操作系统
快科技7月20日消息,昨天,微软突如其来的故障,让全球不少国家服务陷入崩溃,Windows电脑蓝屏的画面随处可见。随后
2024-07-20 09:22:00
电瓶车离轿车数米远摔倒 轿车司机担责5千赔偿引热议
快科技7月20日消息,日前,有博主分享了一段交通事故视频,该事故的判责,引起了网友热议。道路监控视频显示,一辆小轿车在路口准备右转
2024-07-20 09:22:00
本文转自:人民网-江西频道近年来,作为江西省第一批中小企业数字化转型试点县的永修县,强化政策支持和资金引导,加快推动制造业企业数字化转型
2024-07-20 09:24:00
助力绿色低碳转型 国内首个全绿电供应虚拟电厂投运
本文转自:人民网人民网北京7月19日电 (记者杜燕飞)当前,随着我国新型电力系统建设不断加快,多地探索利用虚拟电厂调动可调负荷资源
2024-07-20 09:36:00