• 我的订阅
  • 头条热搜
英特尔组装完成全球最先进的EUV光刻系统
英特尔新成立的芯片代工业务近日表示,已经完成全球首台商用High Numerical Aperture Extreme Ultraviolet光刻扫描仪的组装,实现了芯片制造行业的一个重要里程碑。这是一个令人费解的名字,据说是有史以来最先进的半导体制造设备...……更多
单台售价超25亿!ASML最先进光刻机产能被美国厂商英特尔承包,三星SK海力无奈
...。据悉,ASML截至明年上半年最先进EUV设备的订单已经由英特尔承包,而今年计划生产的五套设备也将全部运给这家美国芯片制造商。按照消息人士的说法,由于上述EUV设备产能每年约为5到6台,这意味着英特尔将获得所有初始库...……更多
不可一世的ASML急了
...一家有能力制造先进 EUV 光刻机的公司,台积电、三星、英特尔想要苹果、高通等芯片设计公司制造先进制程工艺的芯片,就必须使用该公司的 EUV 光刻机。而在实际情况中,EUV 光刻机的结构之复杂、精密度之高,都让它的量产...……更多
英特尔与美国国防部深化合作,采用18A工艺生产芯片
4 月 23 日消息,美国芯片制造商英特尔与美国国防部进一步加深合作,共同研发全球最先进的芯片制造工艺,这项合作是双方在两年半前签署的“快速可靠微电子原型”(RAMP-C)项目的第一阶段基础上拓展而来的。IT之家注意到...……更多
美国芯片制造业支棱不起来,全怪一张膜?
...全球半导体制造份额占比: 除了还在坚持自己造芯片的英特尔外,其他企业像是英伟达、 AMD 啥的,几乎都在向台积电、三星等讨饭吃。昔日的半导体摇篮,制造业务一丢再丢,背后的原因是啥,这些年也被大伙们分析烂了。...……更多
3.8亿美元/台!ASML将于2024年向台积电交付最新款光刻机
...近的一次电话会议上告诉分析师,公司两大客户台积电和英特尔将在今年年底前获得所谓的高数值孔径(高NA)极紫外(EUV)光刻系统。英特尔此前已经订购了最新的高NA EUV设备,第一台设备已于12月底运往俄勒冈州的一家工厂...……更多
制造光刻机 40 年,一本新书重新发现了 ASML 成功的秘密
...Mac 电脑的时候,计算机 CPU 已经复杂到无法以机械加工。英特尔的工厂要用光刻机让精细光线透过薄膜,将肉眼不可见的图案投射到硅片上,形成微小的电路结构。ASML 光刻机的成像原理:通过投射光束,穿过印有电路图的掩模...……更多
ASML揭秘全球第一台高NA EUV光刻机:分辨率直达8nm
经过十年的研发,ASML于2023年12月正式向英特尔交付了首个HighNA(高数值孔径)EUV光刻系统——TWINSCANEXE:5000的首批模块,代表着尖端芯片制造向前迈出了重要一步。近日,ASML发布了一篇题为《关于高数值孔径EUV光刻你应该了解...……更多
英特尔将在未来冲击1nm工艺:基于新一代光刻机
英特尔过去几年在半导体制造的工艺所取得的成就远不如台积电,当然英特尔也承认了自己的不足。不过作为半导体巨头的英特尔自然会通过强大的研发团队在半导体领域不断地追赶,就在之前的技术大会上,英特尔就已经公...……更多
英特尔包圆 ASML 初始产能,获得今年全部高数值孔径 EUV 光刻机
IT之家 5 月 8 日消息,英特尔近日宣布完成世界首台商用高数值孔径(High NA)EUV 光刻机的安装,而这台耗资约 3.5 亿美元(IT之家备注:当前约 25.23 亿元人民币)的庞然大物将于年内正式启用。TheElec 表示,ASML 截至明年上半年...……更多
英特尔马格德堡fab29晶圆厂项目蓝图公布
3月1日消息,据德媒heise和Hardwareluxx报道,英特尔近日依德国环保规定公布了其位于德国马格德堡的Fab29晶圆厂项目的蓝图。▲英特尔德国马格德堡Fab29晶圆厂项目概念图▲整体项目蓝图蓝图显示,英特尔在马格德堡购入了相当大...……更多
台积电或2030年才采用High-NA EUV光刻机
去年末,ASML向英特尔交付了业界首台High-NAEUV光刻机。这是具有高数值孔径(High-NA)和每小时生产超过200片晶圆的极紫外光(EUV)大批量生产系统,提供0.55数值孔径,与此前配备0.33数值孔径透镜的EUV系统相比,精度会有所提高...……更多
ASML 证实今年将向台积电交付价值 3.8 亿美元的高 NA EUV 光刻机
IT之家 6 月 5 日消息,ASML 已经向英特尔交付世界首台商用 High-NA EUV 光刻机并完成安装,英特尔院士马克・菲利普斯(Mark Phillips)确认这台机器将于年内正式启用。与之形成鲜明对比的是,全世界最大的芯片代工巨头台积电则...……更多
日本半导体产业的行与不行
...然期望有多种选择,这样自己才有议价权。2000年左右,英特尔、三星和台积电陆续成为ASML的股东,本质上构成战略合作联盟,而日本公司被排斥在外。 第二是尼康自身和日本国内环境的变化。尼康的原名是日本光学工业株式...……更多
抢光刻机、截客户,三大芯片巨头缠斗2nm丨知料
...一个月将能生产3万片2nm芯片。不仅仅是台积电,三星和英特尔在2nm以下制程的战火早就开始烧起。分别在今年的6月、10 月,三星先后透露了其先进制程芯片未来的规划—— 2nm芯片计划在2025年量产之后,2026年即扩展到HPC(高性能...……更多
​光刻机之战
...示兴趣,该公司已经在全球卖出了数千台Micralign光刻机。英特尔1978年6月推出了著名的8086处理器,就是用Micralign制造。在20世纪70年代末,Perkin-Elmer拥有90%的光刻市场份额,几乎和最顶尖芯片制造者都有往来:从大学到IBM、英特...……更多
EUV设备热度降温,传ASML首度遭遇砍单
...客户减单效应将于2024年逐步显现。过去台积电、三星和英特尔等企业疯狂争抢EUV设备的热度已经降温,为缩小先进制程差距而不断烧钱的三星和英特尔估计也会跟进台积电的做法。从长远来看,ASML依然独占EUV设备市场,最迟会...……更多
英特尔:2023砍掉30亿美元资本支出
....2%,今年相关占比预期将提升到8%至8.5%。图源:经济时报英特尔:2023砍掉30亿美元资本支出英特尔是芯片行业中遭受打击最严重的公司之一,因为其大约一般的收入来自其PC芯片部门。2022年英特尔全年营收为631亿美元,较2021年...……更多
台积电公布 1.6nm 芯片工艺,iPhone 19 或首发
...耗降低 15-20%,芯片密度提升 1.10 倍。A16 工艺的芯片将和英特尔 14A 技术「正面对决」。今年 2 月,英特尔曾宣布将通过 14A 技术制造出「世界上最快的芯片」,此前他们宣布了和台积电类似的芯片「背面电源」技术。对于和英特...……更多
英特尔Intel 4 制程节点已大规模量产,性能大增
英特尔中国在其官方公众号上宣布了一则重要消息,该公司已于近日开始采用极紫外光刻(EUV)技术大规模量产Intel4制程节点。这一里程碑式的进展标志着英特尔在推进“四年五个制程节点”计划方面取得了重要成果,并将用...……更多
三星认为High-NA EUV有利于逻辑芯片制造
...术时,性能和成本方面都存在潜在的挑战。相比于三星,英特尔对High-NAEUV的前景更为乐观一些。按照英特尔的新计划,将会在Intel14A工艺引入High-NAEUV,英特尔掩模业务总经理FrankAbboud称,过往在DUV发挥重要作用的相移掩模有望引...……更多
AI,芯片巨头的新战场
当地时间10月26日美股盘后,英特尔公布了三季度财报。虽然英特尔的营收和调整后的每股收益,都远高于预期,但从财务指标来看,英特尔在报告期内的表现较去年同期相比表现不佳,主营业务的收入也出现下降。对此,英特...……更多
通往万亿晶体管芯片,关键技术揭秘
... | 漠影到2023年,在1颗芯上集成1万亿个晶体管。——这是英特尔最新公布的“小目标”。什么概念?英伟达今年推出的最新旗舰通用GPU H100,在814mm²核心面积上集成了800亿个晶体管;英特尔即将推出的数据中心GPU Ponte Vecchio,晶...……更多
英特尔首提、英伟达加码,玻璃基板或掀行业变革!沃格光电2连板
...,英伟达GB200将使用玻璃基板完成先进封装工艺。此外,英特尔、三星、AMD等大厂商此前也曾表示将导入或探索玻璃基板芯片封装技术。受消息刺激,5月20日,玻璃基板概念持续发酵。沃格光电(603773.SH)两连板,雷曼光电(3001...……更多
芯片战场丨英特尔2023年营收542亿美元:PC业务复苏 数据中心下滑
1月26日,英特尔(INTC.O )发布了2023年第四季度和全年财报。虽然全年收入同比下降,但是第四季度超预期,收入利润双双增长。数据显示,按照非通用会计准则,英特尔第四季度营收为154亿美元,同比增长10%;毛利率48.8%,上...……更多
拯救英特尔
...道称,乔·拜登(Joe Biden)前一日在美国芯片曾经的巨头英特尔公司(INTC)位于亚利桑那州钱德勒的工厂(奥科蒂洛园区)宣布,将向其提供85亿美元资金和最高110亿美元贷款,支持其在美本土开展芯片制造业务。这是迄今依据...……更多
英特尔独中200亿美元“大礼包” 台积电和三星仍看菜下碟?
...终于落地。拜登总统今日在亚利桑那州宣布一项协议,为英特尔提供85亿美元的直接拨款和110亿美元的贷款,以及未来5年25%的税收减免。这一接近200亿美元的“大礼包”也让英特尔得到了迄今为止《芯片法案》出台之后最大的一...……更多
台积电宣布“A16”芯片制造技术将于 2026 年量产
...将于 2026 下半年投入量产,标志着台积电与长期竞争对手英特尔之间关于谁将能够制造出全球最快芯片的较量再次升级。作为全球顶尖的晶圆代工企业,台积电是英伟达和苹果等科技巨头的关键芯片供应商。台积电在美国加州圣...……更多
微软与英特尔在芯片制造领域合作
...据外媒报道,近日,微软宣布其即将推出的定制芯片将由英特尔代工,采用英特尔最新的18A(1.8nm)工艺进行制造。这一消息是微软首席执行官萨提亚·纳德拉在公开场合宣布的,标志着微软与英特尔在芯片制造领域的紧密合作...……更多
英特尔推最新AI处理器,挑战英伟达:训练主导地位不会持久
芯片巨头英特尔(Nasdaq:INTC)推出一系列人工智能(AI)新品,发力抢占被英伟达统治的AI芯片市场。当地时间12月14日,英特尔举办了名为“AI Everywhere(AI无处不在)”的发布会,宣布推出一系列AI新品,包括面向个人电脑(PC...……更多
更多关于科技的资讯:
客服机器人智能系统:改变未来客户服务的游戏规则
引言在数字化时代,客户服务的重要性日益增长。公司需要更好地满足客户的需求,提供高效、个性化的服务。随着技术的进步,客服机器人智能系统成为改变未来客户服务的游戏规则的颠覆性技术
2024-06-20 22:34:00
金融外呼系统:优化金融营销和客户服务的一种创新方式
在数字化时代,金融机构面临着越来越多的竞争和客户需求的变化。为了保持竞争优势,提高销售效率并提供更好的客户服务,金融外呼系统应运而生
2024-06-20 22:55:00
最简单的“6·18”,也是最具考验的“6·18”。2024年上半年的电商重头戏,反思多于战绩。星图数据显示,今年全网销售总额为7428亿元
2024-06-20 23:20:00
视频客服:创新方式提升客户服务体验
什么是视频客服?视频客服是一种创新的客户服务方式,通过视频通话技术将客户与客服代表直接连接起来。这种方式可以提供更亲密
2024-06-20 23:37:00
数据擘画“活力中国” 千行百业向着中国式美好图景阔步前行
本文转自:央视网央视网消息:6月20日,《新闻联播》报道了展会、外贸、广告业、物流等方面的利好消息,结合2024陆家嘴论坛释放出的我国金融领域发展的积极信号
2024-06-20 23:42:00
来这里 看看天津地铁有多聪明
本文转自:今晚报天津轨道交通集团亮相世界智能产业博览会来这里 看看天津地铁有多聪明本报讯(记者雷风雨)世界智能产业博览会昨天在国家会展中心(天津)启幕
2024-06-21 01:36:00
本文转自:学习时报王双燕 在新一轮科技革命和产业变革加速演进时期,迫切需要捕捉新机遇、培育新产业、催生新模式、发展新动能
2024-06-21 03:32:00
本文转自:学习时报张凌寒 数字人产业随着生成式人工智能技术多模态的融合发展,迎来了前所未有的发展机遇。从影视娱乐到品牌营销
2024-06-21 03:32:00
本文转自:学习时报杨方捷 数字技术是提升党建工作的新型手段,积极运用互联网、大数据等新兴技术,创新党组织活动内容方式,推进“智慧党建”是新时代加强党的建设的重要要求
2024-06-21 03:32:00
本文转自:科技日报从“智慧潜能”到生产力——二○二四世界智能产业博览会观察人工智能大模型赋能千行百业、机器人成工业智慧转型重要推手
2024-06-21 03:35:00
本文转自:科技日报科技日报北京6月20日电 (记者陆成宽)在20日举办的2024世界智能产业博览会上,中国软件正式发布新一代政企数智底座——“熵舟”
2024-06-21 03:36:00
立足科技前沿 服务学术同行
本文转自:科技日报《极端制造(英文)》:立足科技前沿 服务学术同行《极端制造(英文)》期刊2024年第二期封面。图片来源
2024-06-21 03:36:00
本文转自:科技日报科技日报石家庄6月20日电 (通讯员苏灿 霍京明 齐锦涛 记者刘廉君)20日,由国网河北电科院研制的国内首台旋转移相潮流调控装置
2024-06-21 03:36:00
挑战地球物理研究极限问题
本文转自:科技日报挑战地球物理研究极限问题——科研助理代表座谈会发言摘登闫英伟 中国科学院院士陈晓非团队科研助理科学家精神不是抽象的概念
2024-06-21 03:36:00
本文转自:经济参考报入夏以来我国多地气温攀升,用电高峰期的到来使新能源储能需求相应增加。近日,拥有“巨型充电宝”之称的铅炭电池研发与应用取得进一步突破
2024-06-21 03:47:00