• 我的订阅
  • 头条热搜
消息称台积电 4/3nm 客户修改制程计划
...只有苹果才能享受到8折优惠,但目前已有多家客户修正制程规划,调整投片与订单,包括拉长4/5nm世代周期,放缓N3E、N3P采用进度,等待2nmGAA制程世代再重押。他认为,虽然台积电产能布局可能被打乱,但客户黏着度更高,对于...……更多
苹果今年或全面迈入3nm制程时代
去年,继三星宣布全球首发3nm制程工艺之后,台积电也在年底正式量产3nm工艺制程。最新消息称,除了苹果iPhone15系列所搭载的A17之外,其余苹果产品包括MacBook系列和iPad系列也将采用台积电3nm制程工艺打造。去年年底,台积电...……更多
消息称三星4nm工艺产能大幅提升 谷歌、AMD纷纷下单
...闻英文版网站 The Pulse 报道,近期三星称,其 4 纳米芯片制程良率已改善、接近 5 纳米的水准,下一代 4 纳米制程将提供更高的良率。三星电子去年推出了 4 纳米芯片技术,但由于良率不高,导致部分客户转投台积电,包括高通...……更多
台积电2nm芯片初始产能将花落谁家!曝苹果有望最先采用
...。2023年,苹果在最新的iPhone和Mac部分型号中采用了3纳米制程工艺,iPhone15Pro型号中的A17Pro芯片和Mac电脑中的M3系列芯片都是基于3纳米节点构建的,这是对之前5纳米节点的升级。更先进的制程台积电为更先进的制程工艺投入了大...……更多
抢光刻机、截客户,三大芯片巨头缠斗2nm丨知料
...作者丨邱晓芬编辑丨苏建勋近期,行业内关于2nm以下芯片制程的进展频频。12月14日,台积电在一次会议上首次提到,其1.4nm制程已经开始研究,并且计划在 2027-2028年器件量产。与此同时,台积电2nm制程的进展也近期也颇快。此...……更多
三星3纳米芯片生产良品率达80%
你可能还记得,去年4月,三星代工厂使用其3nm工艺制程生产芯片的良率低得令人无法接受,在10%到20%的范围内。良率是生产的可接受芯片与一个晶圆上的最大芯片数相比的百分比。考虑到用于3nm生产的晶圆的高成本(超过20000...……更多
...Phone 15系列手机出现发热问题,可能是因为台积电的3纳米制程上存在缺陷。分析师指出,台积电在3纳米工艺中使用了与上一代工艺相同的FinFET结构,没能很好地控制过热问题。并且,一些业内人士越来越不确定台积电的3纳米工...……更多
英特尔新一代Nova Lake处理器展望:采台积电2nm工艺
...消息称,英特尔新一代NovaLake处理器将采用台积电的2纳米制程。市场消息称,苹果和英特尔等公司对台积电首批2纳米芯片表现出浓厚兴趣。苹果一直是台积电的独家客户,据传已经为下代iPhone预留了部分2纳米产能,有可能会应...……更多
苹果下本了!包圆台积电3nm订单:高通联发科无缘
...产。此前,三星早在去年6月份就已经宣布开启3纳米工艺制程生产,而台积电在原先的规划中打算在去年9月正式量产,但是因为一些原因延期到去年年底。在3纳米制程加强版上,台积电表示其研发成果也要优于预期,将具有更...……更多
英特尔与美国国防部深化合作,采用18A工艺生产芯片
...微软、英伟达和 IBM 等商用领域巨头。18A 是英特尔下一代制程工艺,根据该公司此前公布的信息,其前一代 20A 制程预计将在 2024 年投入生产。英特尔去年年底公布了 18A 制程的关键细节,公司 CEO 帕特・基辛格 (Patrick Gelsinger) 表...……更多
上半年三星或实现第三代4nm芯片量产,全面改进工艺
...功耗方面均有不小提升。去年年中,三星宣布全球首发3nm制程工艺,与前几代使用的FinFET的芯片不同,三星使用了GAA晶体管架构,能极大改善芯片的功率以及效率。与之前的5nm相比,新一代的3nm制程工艺降低了45%的功耗,并且性...……更多
英特尔3nm,加入战局
...时,英特尔日本负责人分享了公司在未来四年内重新夺回制程领先地位的计划。他表示。随着 Alder 和 Raptor Lake 的 7nm(Intel 7)节点问世,Meteor Lake 的 4nm(i4)晶圆已经量产。Intel 4 工艺将带来20% 的每瓦性能提升,并采用 EUV 光刻...……更多
台积电推2nm,芯片制程极限升级,2nm或不再是我们理解的2nm了
...单,预计用在2025年上市的iPhone17 Pro上。从原理上说,2nm制程芯片也和以往的芯片都不相同,它需要在每平方毫米的面积上,植入3亿颗晶体管,相当于在一个指甲盖那么大的面积里,塞下近400亿颗晶体管。这个数量是现在主流5nm...……更多
消息称AMD或将部分4纳米CPU芯片订单从台积电转移至三星
...一提的是,之前还有消息称三星已经开始量产第三代 4nm 制程,提高良率和能效,客户可能包括谷歌 Tensor G3 和高通骁龙 8 Gen 3。报道称,台积电 4nm 产能正在满载运行,苹果、高通等客户同样在该节点上采购 4nm 移动 SoC,这使得 ...……更多
消息称台积电和格芯仍将是主要代工伙伴
...展望,同时也带来了多款新品,持续采用台积7/6/5/4纳米制程。据《电子时报》援引业内人士的消息,台积电和格罗方德预计到2025年仍将是AMD的主要代工合作伙伴,而三星仅获得了部分 14nm的APU和GPU产品订单。消息人士称,台积...……更多
大屏版MacBook Air来了!关键参数尘埃落定
...产。x此前,三星早在去年6月份就已经宣布开启3纳米工艺制程生产,而台积电在原先的规划中打算在去年9月正式量产,但是因为一些原因延期到去年年底。台积电此前表示在N3B研发成果也要优于预期,将具有更好的效能、功耗...……更多
走在前沿!日本芯片企业Rapidus计划兴建1nm芯片工厂
...,千岁工厂将新建2栋以上的制造厂房,分别对应不用的制程技术;此外,为了强化技术开发,预计2023年员工人数将较目前的100人翻一番,2024年以后将进一步扩招。兴建厂房小池淳义会上所指的两栋准备兴建的厂房设施中,一栋...……更多
英特尔2022年第四季度亏损6.61亿美元,同比下降20%
...型。另一方面,为争得更多份额,英特尔扩建工厂、投资制程的决心也十分坚定。而烧钱的产品无疑需要更多转型和上升的项目做支撑,未来一段时间,英特尔还需加快掘金的速度。艰难时刻:PC芯片库存积压,厂商安稳日子难...……更多
台积电实现了3nm工艺,栅极的发展比摩尔定律发展更快
...的时候,芯片界就有了共识,用栅极的宽度,来代表工艺制程的大小,比如150nm工艺,代表的是栅极的宽度是150nm。后来晶圆厂们就想,既然栅极代表的就是工艺制程,那就是极力的去缩小栅极的宽度,就达到了提升工艺的目标...……更多
台积电将在日本建第二工厂 采用22/28nm工艺
...资工厂即将于明年2月举行开业典礼。该工厂采用22/28纳米制程工艺,为相关客户代工晶圆,预计投资约70亿美元。近日,合资工厂总裁YuichiHorita在演讲中透露,该工厂将于明年四季度开始商业化生产,并逐步提升产能至月产5.5万...……更多
台积电公布 1.6nm 芯片工艺,iPhone 19 或首发
...特尔 14A 一步。 除了 A16,台积电还宣布了其 2nm 工艺 N2 制程芯片也将搭载「背面供电」技术,将在 2025 下半年向客户推出,2026 年实现正式量产。台积电还推出全新晶圆(SoW)技术,实现在单个晶圆上集成多个芯片,增加芯片...……更多
3纳米手机芯片来了!性能狂增30%,耗电降低50%,苹果首发!
...外,三星也宣布开始量产3nm工艺,并展示了由3nm GAA架构制程技术打造的全球首个量产的3nm芯片。与5nm芯片相比,3nm芯片在面积上减少了35%更是实现了性能提升30%,耗电降低50%的恐怖成绩,不出意外的话,骁龙8 Gen2在3纳米旗舰芯...……更多
3nm的芯片战争,才刚刚开始
...成本。这其中,智能手机一直是最有实力和动力推动先进制程工艺不断前进的力量。一方面是因为智能手机需要在极小的内部空间里塞下算力惊人的芯片,同时还要极可能降低芯片的功耗和发热;图/苹果 另一方面是芯片制造太...……更多
...构自研芯片的产品,在未来两年内将会使用台积电3纳米制程工艺代工的芯片进行升级。因此,预计今年苹果笔记本电脑的出货量也将大幅下滑。据称,苹果公司的后续M3系列产品也将升级至3纳米制程工艺。多位分析师之前就预...……更多
三星称其 3nm 良率可达 60~70%
...订单来自需要高性能和低功耗半导体的移动和HPC公司,3nm制程的良率相比最开始量产时也已进入稳定轨道。根据IT之家此前报道,三星3nm制程工艺已获英伟达、高通、IBM、百度等公司订单。4月30日,台积电针对美国大客户召开北...……更多
车规芯片和消费电子芯片的区别
...子芯片和车规芯片的设计考虑重点有很大不同,导致工艺制程也有很大不同。硬要比高低的话,像评论《天龙八部》中乔峰“降龙十八掌”和《倚天屠龙记》中张无忌“九阳神功”孰强孰弱,确实很难面面俱到,下面小编尝试剖...……更多
3纳米+自研架构!最强安卓手机处理器曝光:性能竟要干掉苹果M2!
...能比骁龙8 Gen2提高了一大截,能效比也很高,但它在工艺制程和架构上和骁龙8 Gen2相比并没有太大的区别。尤其是对比苹果iPhone15 Pro系列上的A17 ProA17 Pro是全球首款采用台积电3纳米工艺的芯片,按道理来说理论性能更加优秀,但...……更多
三星芯片制造滑铁卢,台积电将独家代工高通骁龙8 Gen4
近日,据相关媒体报道,由于三星3纳米GAA制程技术晶圆良率仍不理想,高通骁龙8Gen4将改由台积电独家代工。这一消息对于三星来说无疑是一个打击,因为高通是全球最大的移动设备芯片供应商之一,而三星一直试图在半导体...……更多
首次采用 3nm 制程、比 M1 Max 快 80%!苹果亮相 M3 系列芯片,最高搭载 40 核 GPU
...芯片系列:M3、M3 Pro 和 M3 Max。据悉,M3 系列芯片采用 3nm 制程工艺,在 CPU 和 GPU 方面都有了重大改进。这三款 3nm 制程芯片能满足不同用户的需求。1苹果亮相 M3 系列芯片:3nm 制程工艺,最高搭载 40 核 GPUM3 系列中的每个芯片都...……更多
台积电去年四季度净利跌近两成但超预期,预计今年半导体产业增长10%
...积电3纳米产品主要用来代工苹果芯片。公告显示,3纳米制程出货占四季度销售金额的15%。台积电总裁魏哲家说,2023年下半年大量量产,全年贡献约6%营收,预期在智能手机及高性能计算需求带动下,2024年3纳米制程占营收比重...……更多
更多关于科技的资讯:
本文转自:人民日报客户端日前,由沧州市市场监管局主导的“平台助企”推介帮扶会在沧州河间市举办,当地53家工艺玻璃相关企业齐聚一堂
2024-05-04 18:05:00
本文转自:人民网“大家好,我是爱喝茶的老路”, 来自法国的路明,现在是一名短视频创作者,他在中国已经生活了三十多年了。他深感中西方文化存在着诸多差异
2024-05-04 18:15:00
波音和美国宇航局宣布starliner首次载人飞行测试
5月4日消息,波音公司和美国宇航局日前宣布,新的Starliner航天器将于周一(5月6日)首次载人飞行测试。在这次被称为机组飞行测试(CFT)的任务中
2024-05-04 08:52:00
追光的你 | 储能工程师,让风光做“主”、绿电生“金”
本文转自:人民网向“新”奋进的劳动者追光的你 | 储能工程师,让风光做“主”、绿电生“金”近百米的白色风机徐徐转动、直入碧空
2024-05-04 08:59:00
本文转自:常州日报2024福布斯中国人工智能科技企业公布微亿智造入选50强本报讯(童华岗 叶思佳 陈心悦) 4月28日
2024-05-04 09:15:00
中移铁通山西大同分公司组织参加2024年第一期家集客技能竞赛
技术是强企之本、立企之基。为了进一步加强企业技能人才队伍建设,助力企业可持续、高质量发展,中移铁通山西大同分公司积极组织员工参加2024年第一期家集客技能竞赛
2024-05-04 11:30:00
ring推出室内云台摄像头,支持自定义检测区域
5月3日消息,海外智能家居设备厂商 Ring推出Pan-TiltIndoorCam室内云台摄像头,可提供360度横向视角与169度纵向视角范围
2024-05-04 13:37:00
sk海力士正在开发300tb固态硬盘
5月3日消息,SK海力士周四在韩国首尔举行的新闻发布会上透露,该公司正在开发容量前所未有的 300TB固态硬盘。该驱动器被预先宣布为更广泛的产品和技术产品组合的一部分
2024-05-04 13:38:00
keychronm7无线鼠标海外发布,采用右手人体工学设计
5月3日消息,KeychronM7无线鼠标于海外推出,采用右手人体工学设计,重63g,提供黑白双色可选,海外定价49美元(IT之家备注
2024-05-04 13:38:00
水墨风动作roguelite游戏《墨境》抢先体验
5月3日消息,由LeapStudio开发的水墨风动作Roguelite游戏《墨境》宣布 5月17日于 Steam平台开启抢先体验
2024-05-04 13:42:00
英伟达公布geforcenow云游戏服务5月上新列表
5月3日消息,英伟达公布GeForceNOW云游戏服务5月上新列表,来自忍者理论的《地狱之刃》、P社新作《铸造厂》等游戏本周上线
2024-05-04 13:46:00
游戏流媒体平台streamlabs发布obs插件
5月3日消息,游戏流媒体平台Streamlabs发布了OpenBroadcasterSoftware(OBS)的官方插件
2024-05-04 13:47:00
英伟达为rtxremix推出新功能与优化
5月3日消息,英伟达为其经典游戏Mod工具 RTXRemix推出新功能与优化,支持带有光线重建功能的DLSS3.5,可以生成更高质量的光线追踪图像
2024-05-04 13:48:00
广交会观察:“老三样”企业的智能化、绿色化热潮
本文转自:中国新闻网中新社广州5月4日电 (记者 蔡敏婕)亮相米兰时装周、米兰国际家具展、美国拉斯维加斯消费电子展(CES)……近年来
2024-05-04 14:07:00
本文转自:新民晚报本报讯(记者 罗水元)昨天,嫦娥六号月球探测器发射任务取得圆满成功。国网上海松江供电公司对中国科学院上海天文台启动了一级保电机制
2024-05-04 14:26:00