• 我的订阅
  • 头条热搜
曝台积电明年量产2nm:苹果又将拿到首发权
...进展顺利,新工艺将于明年在新竹宝山工厂开始量产,由苹果首发。值得注意的是,iPhone 17系列虽然也是明年亮相,但新机赶不上台积电2nm工艺,因此iPhone 18系列会成为第一款搭载2nm芯片的智能手机。根据台积电的介绍,与N3E节...……更多
苹果首发台积电2nm工艺 明年实现量产
...最后冲刺阶段,计划在来年于新竹宝山工厂启动量产,而苹果公司已确认将率先采用这一先进技术。有趣的是,尽管iPhone 17系列将在未来一年内上市,但该系列机型无缘搭载台积电的2nm芯片,因此预计iPhone 18系列将成为首款搭载...……更多
3nm的芯片战争,才刚刚开始
...人的芯片,同时还要极可能降低芯片的功耗和发热;图/苹果 另一方面是芯片制造太烧钱,也只有一年就能卖出十多亿台的智能手机可以形成规模效应,不断推动先进制程改进工艺、提高良率,得以让服务器、PC、游戏主机甚至...……更多
苹果下本了!M3芯片首发台积电3nm工艺:代工费天价
3月8日消息,据9to5Mac报道,苹果下一代MacBookAir和MacBookPro将会配备M3芯片,这颗芯片由台积电代工,使用最新一代3nm工艺制程。报道还指出,苹果承担了台积电3nm工艺的全部订单,基于台积电3nm工艺打造的M3芯片有可能会在今年6...……更多
台积电公布 1.6nm 芯片工艺,iPhone 19 或首发
苹果的 2nm 芯片还没个影,供应商台积电就推出了全新 1.6nm 工艺了。昨天,台积电公布了一系列新的技术成果,包括一个「A16」工艺。这项工艺将采用 1.6 nm 节点,将显著提升芯片的性能,预计 2026 年量产。「A16」的命名和苹果...……更多
台积电2nm芯片初始产能将花落谁家!曝苹果有望最先采用
根据多位业内知情人士的消息,苹果有望成为第一家采用台积电2纳米工艺芯片的公司。海外一份科技类媒体最先对此做出了报道。据知情人士对媒体透露,苹果“被广泛认为是第一个使用这一工艺的客户”。按照现有进度,台...……更多
iPhone15系列将首次采用28nm OLED驱动芯片
驱动中国2023年2月23日消息,据有关人士爆料,苹果 iPhone 15 系列将升级 OLED 驱动芯片工艺制程,从 40nm HV 升级至 28nm HV,这一改变有助于进一步降低功耗,提高续航能力。目前,苹果 OLED 驱动芯片的核心供应商是 LX Semicon 和三星...……更多
台积电推2nm,芯片制程极限升级,2nm或不再是我们理解的2nm了
... 2nm 工艺芯片,双方争夺战已经打响。目前台积电已经向苹果、英伟达等主要客户展示了 2nm 工艺原型测试结果;而三星也推出了 2nm 原型,为了吸引英伟达在内的知名客户,将提供了更低廉的价格。据悉,台积电再度抢下苹果订...……更多
台积电试图降低n3/3nm级晶圆厂工艺成本
...成本来实现这一目标需要一些时间和相当大的风险,目前苹果公司是台积电N3B技术的最大客户之一。难度最大的台积电现在制造新N3技术的成本居高不下,据华兴资本集团称,根据不同的配置要求,N3在25层内范围内使用EUV(极紫...……更多
制造成本太高!传台积电美国晶圆厂将涨价30%
...海外客户应该是清楚的。此前,台积电的第一大客户——苹果公司CEO库克就曾公开表示,全球60% 处理器供应来自中国台湾,“不管你的感觉或想法如何,60% 来自任何地方可能都不是一个好的战略”。在去年12月的台积电位美国...……更多
骁龙8 Gen5处理器不用抽奖了,只因三星3nm良品率过低!
...玑9300的全大核设计类似。而且采用台积电N3E工艺,优于苹果A17Pro使用的是N3B工艺,这也让很多人安心,毕竟A17Pro出现了发热和能效较差的问题。所以工艺只要稳定,那么骁龙8 Gen4处理器也就很难翻车,这点到了骁龙8 Gen5处理器...……更多
新一代Google Tensor采用台积电InFO封装
8月6日消息,在半导体封装技术领域,苹果公司多年来一直独占鳌头,其iPhone7的A10处理器自2016年起就率先采用了台积电(TSMC)基于FOWLP(扇出晶圆级封装)的InFO封装技术。然而,随着谷歌即将发布的Pixel10系列搭载的TensorG5芯片...……更多
首发2nm芯片!苹果A19 Pro逐渐浮出水面,你会买单吗?
...真的需要时间,即使到现在,也只是刚进入3nm工艺阶段。苹果iPhone手机推出的3nm工艺芯片还是给用户带来了不少惊喜,虽然性能提升幅度并不大,但也算是看到了好消息。而且根据市场此前传出的消息,未来的骁龙8Gen4、天玑9400...……更多
三星痛失谷歌高通订单!只因3nm良率太低
...3nm工艺上的技术优势,吸引了包括英伟达、AMD、英特尔、苹果、高通、联发科和谷歌在内的7家主要公司的订单。业内人士分析,大客户选择台积电的主要原因在于两家公司在尖端工艺上提供的芯片功耗效率不同。尽管台积电将3n...……更多
台积电2nm芯片崭露头角,iPhone 17 Pro或成首款搭载
...要客户展示了N2(2nm)工艺芯片原型,其中包括英伟达和苹果。虽然台积电尚未对此事置评,但公司表示2nm技术的研发正在顺利进行,计划在2025年实现量产。这一纳米片晶体管技术承诺提高性能、能效和晶体管密度。据台积电称...……更多
英特尔18A工艺客户敲定:开发Arm架构64核心处理器
英特尔近年来大肆推广IDM2.0战略,计划将晶圆代工领域独立出来,从而为其他厂商提供晶圆代工服务,虽然在市场份额上远不如台积电和三星,但是由于英特尔在晶圆制造领域所取得的丰富成果,因此之前也有很多厂商与英特...……更多
三星称其 3nm 良率可达 60~70%
...进行考虑,其次才是晶圆成本,而目前台积电产能都已被苹果占据。此前,三星曾因其工程部门2022年“捏造”良率以赢取客户业务的争议而备受诟病。三星表示2023-2024年将以3纳米生产为主,即SF3(3GAP)及其改进版本SF3P(3GAP+),而...……更多
三星3纳米芯片生产良品率达80%
...组转向3nm。联发科也在考虑转向最新的工艺制程。目前,苹果公司可能是今年唯一一家拥有能力成功设计3nm芯片的手机制造商。A17Bionic将搭载于iPhone15Pro和iPhone15ProMax机型,将使用台积电的3nm制程生产,这将使苹果明年比三星更...……更多
美国芯片内战
...画面的首选,高通决定信号如何在空气里传播。三年前,苹果 M1 芯片推出,一度以超出想象的性能打破平静。但它的成功更多被外界归因于资本实力——果然只有钱最多的公司才可能造好芯片。这一局面在过去一周几乎被彻底...……更多
砸下8400亿元后,三星先被自己人背刺
...界所诟病。此前《纽约时报》就曾报道过,台积电在接到苹果和英伟达的订单后,将其他公司的订单排期延后。在这样的背景下,芯片设计厂商们普遍迫切地希望三星电子能站出来,以扼制台积电一家独大。甚至有些时候,与三...……更多
英特尔3nm,加入战局
... Raptor Lake 的 7nm(Intel 7)节点问世,Meteor Lake 的 4nm(i4)晶圆已经量产。Intel 4 工艺将带来20% 的每瓦性能提升,并采用 EUV 光刻技术以获得更好的良率和密度。他进一步指出。英特尔还准备在 2023 年底推出其 3nm 等效工艺节点。.……更多
印钞机停不下来:去年台积电晶圆代工均价狂飙22%,3nm代工费超14万
...,大概是7nm的2倍。很显然几乎垄断3nm制程的台积电坐拥苹果这样的大客户,可以说躺着赚钱,3nm制程工艺也成为了台积电高效的印钞机。当然现在基本上3nm工艺被苹果包圆了,而5nm才是台积电的重点,营收为68亿美元,至于7nm则...……更多
苹果今年或全面迈入3nm制程时代
...台积电也在年底正式量产3nm工艺制程。最新消息称,除了苹果iPhone15系列所搭载的A17之外,其余苹果产品包括MacBook系列和iPad系列也将采用台积电3nm制程工艺打造。去年年底,台积电在台南科学园区举办3纳米生产暨扩厂典礼,正...……更多
中国使用22nm造出256核心芯片!目标1600核心
...芯片,而未来目标是最多做到1600核心,为此将用上整个晶圆,也就是“晶圆级芯片”。这一芯片被命名为“浙江”,采用了近年来流行的chiplet芯粒布局,分成16个芯粒,而每个芯粒内有16个RISC-V架构核心,总计256核心,都支持可...……更多
苹果要脚踏两只船了?三星4nm工艺良品率追上台积电
...加到70%以上;从而领先于外界的预期。据业内人士透露,苹果的团队会议记录显示,其估计三星4nm工艺的良品率已经接近台积电。此前,三星在12英寸晶圆代工稼动率也有所提升。三星在2022年事业报告中表示,已确保第二代和第...……更多
国内首台12英寸全自动超精密晶圆环切设备交付
...点和重要方向。29日,中国国内首台12英寸全自动超精密晶圆环切设备交付仪式在浙江省宁波市余姚市举行。该台12英寸全自动超精密晶圆环切设备由宁波芯丰精密科技有限公司研发,这一重大突破标志着中国半导体设备制造跨上...……更多
台积电目前的3nm芯片产量不足以满足客户的需求
科技媒体EETimes报道称,台积电在满足苹果对3nm芯片的需求方面遇到了问题。苹果今年锁定了台积电的所有3nm产能,并计划在iPhone15Pro和iPhone15Ultra上搭载3nm的A17仿生芯片。而作为台积电最大的客户,苹果的订单占其收入的25%。对...……更多
剑指32Tbps!英特尔披露硅光集成路线图,OCI芯粒为未来AI基建打地桩
...很好的转换。EIC采用标准CMOS工艺节点,PIC采用基于300mm硅晶圆上运行的英特尔硅光子制造工艺。通常EIC采用相对先进的制程,以接近或对齐要支持的主芯片,PIC则采用更成熟的制程。由于没有用可插拔的方式,这样的计算部件本...……更多
三星量产12nm DDR5内存:功耗骤降23%、量产率提高 20%
...相较于上一代产品,12nm DDR5内存的功耗降低了23%,同时晶圆生产率提高了20%,对于服务器和数据中心来说,这对于节能减排非常有益。三星透露,12nm级工艺的开发基于一种新型的高K材料,该材料可以提高电池电容,使得数据...……更多
台积电实现了3nm工艺,栅极的发展比摩尔定律发展更快
...大小,比如150nm工艺,代表的是栅极的宽度是150nm。后来晶圆厂们就想,既然栅极代表的就是工艺制程,那就是极力的去缩小栅极的宽度,就达到了提升工艺的目标了。所以有一段时间,栅极的发展突飞猛进,大家纷纷用更好的...……更多
更多关于科技的资讯:
FCB-EV9500M医疗术野摄像头实时数据传输靠谱吗?
在无人驾驶飞行设备、智能交通以及安防等高精度、高要求的领域中,实时数据传输的可靠性是保障系统稳定运行的关键。FCB-EV9500M作为一款高清一体化摄像机
2024-09-27 17:20:00
索尼4K模组FCB-CR8530在视频会议中的精彩表现
在当今数字化的时代,视频会议已成为人们日常沟通协作的重要方式。而索尼4K模组FCB-CR8530的出现,为视频会议带来了前所未有的精彩表现
2024-09-27 17:21:00
凯茉锐|索尼FCB模组FCB-EV9520L:30倍光学变焦
索尼FCB-EV9520L高清机芯模组,以其卓越的30倍光学变焦能力,在无人机、医疗成像及水下机器人等多个领域大放异彩
2024-09-27 17:23:00
HTX DAO重磅亮相Crypto Summit 2024
9月25日-26日,HTXDAO独家赞助CryptoSummit2024大会注册处,并以商业伙伴身份亮相。此举为HTXDAO展示其独特的去中心化治理模型提供了机会
2024-09-27 17:26:00
女子景区采了8朵蘑菇老公吃进ICU:自觉辨别菌子能力出色
快科技9月27日消息,据媒体报道,杭州的胡阿姨自觉辨别菌子能力出色,在家附近景区采了8朵蘑菇回家,用其中的6朵烧了一锅泡饭面条给丈夫老张吃
2024-09-27 17:31:00
江苏现1.5万亩巨型眼影盘:画面震撼
9月27日消息,据媒体报道,江苏连云港灌西盐场1.5万亩盐田呈现出缤纷色彩。经连日晾晒,盐池卤水浓度不断上升,颜色缓慢发生变化
2024-09-27 17:31:00
天玑9400最高分!OPPO Find X8 Pro卫星通信版跑分曝光:突破303万
快科技9月27日消息,今日知名数码博主数码闲聊站放出了OPPO Find X8 Pro卫星通信版的安兔兔跑分成绩,是迄今为止天玑9400芯片的最高分
2024-09-27 17:31:00
深圳通限时免费开卡:支持336个城市 适用华为苹果小米vivo和OPPO
快科技9月27日消息,深圳通微信公众号发布限时免费开卡活动。为欢度国庆佳节,手机深圳通互联互通卡限时开展0元免费开通活动
2024-09-27 17:31:00
曾毅首部电影官宣:《苍茫的天涯是我的爱》先导海报发布
9月27日消息,据媒体报道,公路喜剧电影《苍茫的天涯是我的爱》首波阵容官宣并发布两张先导海报。这部影片由刘震云担任艺术总监
2024-09-27 17:31:00
Linux曝出9.9/10分史诗级漏洞!影响几乎所有发行版、已存在10多年
快科技9月27日消息,近日,在Linux发行版中存在十多年的远程代码执行漏洞被披露,漏洞评分高达9.9分(满分10分)
2024-09-27 17:31:00
立省100块!特步篮球鞋官促:透气防滑券后89元
天猫【特步官方旗舰店】,特步篮球鞋日常售价为 189 元,今日可领取90元商品优惠券,到手99元。大概率还可以领到9.9元淘礼金(先到先得)
2024-09-27 17:31:00
四川数智化绿色化发展促进中心启动运行
本文转自:人民网-四川频道王凡嘉宾正在参观四川数智化绿色化发展促进中心1。四川数智化绿色化发展促进中心供图9月26日,由省市两级协同推进建设的四川数智化绿色化发展促进中心在成都正式投入运行
2024-09-27 17:34:00
火蝠电商荣获金瞳奖两项大奖,全域运营实力充分彰显
2024年9月20日下午,火蝠电商在金瞳奖颁奖典礼上获得了两项大奖。金瞳奖,外文名称ChinaContentMarketingAwards
2024-09-27 17:35:00
在时尚界,服装服饰作为表达个性、反映时代精神的重要载体,其流行趋势与行业发展始终是社会文化变迁的晴雨表。随着科技的飞速进步和互联网的普及
2024-09-27 17:36:00
鼎捷装备制造云闪耀智博会:垂直AI创新应用引领装备新未来
不久前,备受瞩目的第十四届智慧城市与智能经济博览会(简称“智博会”)在宁波圆满落下帷幕。以“数字赋能新型工业化”为主题的此次展会上
2024-09-27 17:45:00